OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sim/] [simple/] [ghdl.setup.sh] - Rev 65

Go to most recent revision | Compare with Previous | Blame | View Log

#!/usr/bin/env bash
 
set -e
 
cd $(dirname "$0")
 
NEORV32_LOCAL_RTL=${NEORV32_LOCAL_RTL:-../../rtl}
 
mkdir -p build
 
ghdl -i --work=neorv32 --workdir=build \
  "$NEORV32_LOCAL_RTL"/core/*.vhd \
  "$NEORV32_LOCAL_RTL"/core/mem/*.vhd \
  "$NEORV32_LOCAL_RTL"/processor_templates/*.vhd \
  "$NEORV32_LOCAL_RTL"/system_integration/*.vhd \
  "$NEORV32_LOCAL_RTL"/test_setups/*.vhd \
  neorv32_tb.simple.vhd \
  uart_rx.simple.vhd
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.