OpenCores
URL https://opencores.org/ocsvn/nlprg/nlprg/trunk

Subversion Repositories nlprg

[/] [nlprg/] [trunk/] [tb/] [wave/] [prng8_tb.vcd] - Rev 2

Compare with Previous | Blame | View Log

$date
        Sat Apr 18 17:08:32 2020
$end
$version
        Icarus Verilog
$end
$timescale
        1s
$end
$scope module prng8_tb $end
$var wire 1 ! prng_start_state $end
$var wire 1 " o7 $end
$var wire 1 # o6 $end
$var wire 1 $ o5 $end
$var wire 1 % o4 $end
$var wire 1 & o3 $end
$var wire 1 ' o2 $end
$var wire 1 ( o1 $end
$var wire 1 ) o0 $end
$var wire 8 * o [7:0] $end
$var wire 1 + cnt_start_state $end
$var reg 1 , ck $end
$var reg 8 - cnt [7:0] $end
$var reg 1 . endsim $end
$var reg 1 / pass $end
$var reg 1 0 rst $end
$var reg 1 1 rst_d0 $end
$var reg 1 2 rst_d1 $end
$var integer 32 3 f [31:0] $end
$scope module nlprg8_u $end
$var wire 1 , ck $end
$var wire 1 ) o0 $end
$var wire 1 ( o1 $end
$var wire 1 ' o2 $end
$var wire 1 & o3 $end
$var wire 1 % o4 $end
$var wire 1 $ o5 $end
$var wire 1 # o6 $end
$var wire 1 " o7 $end
$var wire 1 0 rst $end
$var wire 1 4 s0 $end
$var wire 1 5 s1 $end
$var wire 1 6 s2 $end
$var wire 1 7 s3 $end
$var wire 1 8 o7_temp $end
$var wire 1 9 o6_temp $end
$var wire 1 : o5_temp $end
$var wire 1 ; o4_temp $end
$var wire 1 < o3_temp $end
$var wire 1 = o2_temp $end
$var wire 1 > o1_temp $end
$var wire 1 ? o0_temp $end
$scope module dff0 $end
$var wire 1 , ck $end
$var wire 1 4 d $end
$var wire 1 0 rst $end
$var wire 1 ? q $end
$var reg 1 ? state $end
$upscope $end
$scope module dff1 $end
$var wire 1 , ck $end
$var wire 1 5 d $end
$var wire 1 0 rst $end
$var wire 1 = q $end
$var reg 1 = state $end
$upscope $end
$scope module dff2 $end
$var wire 1 , ck $end
$var wire 1 6 d $end
$var wire 1 0 rst $end
$var wire 1 > q $end
$var reg 1 > state $end
$upscope $end
$scope module dff3 $end
$var wire 1 , ck $end
$var wire 1 7 d $end
$var wire 1 0 rst $end
$var wire 1 < q $end
$var reg 1 < state $end
$upscope $end
$scope module dff4 $end
$var wire 1 , ck $end
$var wire 1 0 rst $end
$var wire 1 : q $end
$var wire 1 ; d $end
$var reg 1 : state $end
$upscope $end
$scope module dff5 $end
$var wire 1 , ck $end
$var wire 1 < d $end
$var wire 1 0 rst $end
$var wire 1 ; q $end
$var reg 1 ; state $end
$upscope $end
$scope module dff6 $end
$var wire 1 , ck $end
$var wire 1 : d $end
$var wire 1 0 rst $end
$var wire 1 9 q $end
$var reg 1 9 state $end
$upscope $end
$scope module dff7 $end
$var wire 1 , ck $end
$var wire 1 9 d $end
$var wire 1 0 rst $end
$var wire 1 8 q $end
$var reg 1 8 state $end
$upscope $end
$upscope $end
$scope begin cnt_process $end
$upscope $end
$scope begin display_process $end
$upscope $end
$scope begin end_sim_process $end
$upscope $end
$scope begin reset_delay_process $end
$upscope $end
$upscope $end
$enddefinitions $end
#0
$dumpvars
0?
0>
0=
0<
0;
0:
09
08
07
06
05
14
b10000000000000000000000000000011 3
x2
x1
10
x/
x.
bx -
x,
x+
b0 *
0)
0(
0'
0&
0%
0$
0#
0"
1!
$end
#5
00
#10
0/
0.
12
11
1+
b0 -
10
#15
16
01
0+
b1 -
0!
b10000000 *
1)
1?
1,
00
#20
0,
#25
15
02
b10 -
b11000000 *
1(
1>
1,
#30
0,
#35
17
b11 -
b11100000 *
1'
1=
1,
#40
0,
#45
05
04
b100 -
b11110000 *
1&
1<
1,
#50
0,
#55
15
06
07
b101 -
0)
0?
0'
0=
b1011000 *
1%
1;
1,
#60
0,
#65
16
15
14
b110 -
1$
1:
0&
0<
0(
0>
b101100 *
1'
1=
1,
#70
0,
#75
04
16
15
b111 -
1)
1?
1(
1>
0%
0;
b11100110 *
1#
19
1,
#80
0,
#85
14
17
16
b1000 -
1"
18
0$
0:
b1100011 *
0)
0?
1,
#90
0,
#95
05
16
14
b1001 -
1)
1?
1&
1<
b11110001 *
0#
09
1,
#100
0,
#105
15
04
07
b1010 -
0"
08
1%
1;
b11011000 *
0'
0=
1,
#110
0,
#115
05
16
14
b1011 -
0)
0?
1'
1=
0&
0<
b1101100 *
1$
1:
1,
#120
0,
#125
15
04
17
16
b1100 -
1#
19
0%
0;
0'
0=
b11000110 *
1)
1?
1,
#130
0,
#135
05
16
04
b1101 -
0)
0?
1'
1=
1&
1<
0$
0:
b1110011 *
1"
18
1,
#140
0,
#145
06
15
14
07
b1110 -
0#
09
1%
1;
b1011001 *
0'
0=
1,
#150
0,
#155
06
15
14
b1111 -
1)
1?
1'
1=
0(
0>
0&
0<
1$
1:
b10101100 *
0"
08
1,
#160
0,
#165
16
05
04
b10000 -
1#
19
b10100110 *
0%
0;
1,
#170
0,
#175
14
16
15
b10001 -
0)
0?
0'
0=
1(
1>
0$
0:
b1000011 *
1"
18
1,
#180
0,
#185
04
17
16
b10010 -
0#
09
1'
1=
b11100001 *
1)
1?
1,
#190
0,
#195
05
06
04
b10011 -
0)
0?
1&
1<
b1110000 *
0"
08
1,
#200
0,
#205
05
07
b10100 -
1%
1;
0(
0>
b11000 *
0'
0=
1,
#210
0,
#215
15
16
17
14
b10101 -
0&
0<
b1100 *
1$
1:
1,
#220
0,
#225
05
07
16
14
b10110 -
1#
19
0%
0;
1&
1<
1(
1>
1'
1=
b11110110 *
1)
1?
1,
#230
0,
#235
06
14
b10111 -
0'
0=
0&
0<
0$
0:
1%
1;
b11001011 *
1"
18
1,
#240
0,
#245
04
17
05
b11000 -
0#
09
0%
0;
1$
1:
b10000101 *
0(
0>
1,
#250
0,
#255
15
16
07
14
b11001 -
0)
0?
1&
1<
0$
0:
1#
19
b10010 *
0"
08
1,
#260
0,
#265
05
17
16
04
b11010 -
1"
18
0#
09
1%
1;
0&
0<
1(
1>
1'
1=
b11101001 *
1)
1?
1,
#270
0,
#275
16
04
b11011 -
0)
0?
0'
0=
1&
1<
1$
1:
0%
0;
b1010100 *
0"
08
1,
#280
0,
#285
15
14
07
b11100 -
1#
19
1%
1;
b1011010 *
0$
0:
1,
#290
0,
#295
05
06
04
b11101 -
1)
1?
1'
1=
0&
0<
1$
1:
0#
09
b11101101 *
1"
18
1,
#300
0,
#305
05
17
06
b11110 -
0"
08
1#
19
0%
0;
0(
0>
0'
0=
b110 *
0)
0?
1,
#310
0,
#315
15
16
07
04
b11111 -
1&
1<
0$
0:
b10011 *
1"
18
1,
#320
0,
#325
06
05
17
04
b100000 -
0#
09
1%
1;
0&
0<
1(
1>
b1101001 *
1'
1=
1,
#330
0,
#335
16
15
04
b100001 -
0'
0=
0(
0>
1&
1<
1$
1:
0%
0;
b10100 *
0"
08
1,
#340
0,
#345
14
15
b100010 -
1#
19
1%
1;
0$
0:
1(
1>
b1111010 *
1'
1=
1,
#350
0,
#355
06
07
b100011 -
1)
1?
1$
1:
0#
09
b11111101 *
1"
18
1,
#360
0,
#365
16
15
04
b100100 -
0"
08
1#
19
0&
0<
b10101110 *
0(
0>
1,
#370
0,
#375
14
06
15
b100101 -
0)
0?
1(
1>
0%
0;
b1100111 *
1"
18
1,
#380
0,
#385
17
05
06
b100110 -
0$
0:
0(
0>
b10100011 *
1)
1?
1,
#390
0,
#395
15
16
07
14
b100111 -
0'
0=
1&
1<
b10010001 *
0#
09
1,
#400
0,
#405
05
17
14
b101000 -
0"
08
1%
1;
0&
0<
1(
1>
b11101000 *
1'
1=
1,
#410
0,
#415
06
04
b101001 -
0'
0=
1&
1<
1$
1:
b11010100 *
0%
0;
1,
#420
0,
#425
14
07
05
16
b101010 -
1#
19
1%
1;
0$
0:
0(
0>
b11010 *
0)
0?
1,
#430
0,
#435
06
05
17
04
b101011 -
1)
1?
1(
1>
0&
0<
1$
1:
0#
09
b11001101 *
1"
18
1,
#440
0,
#445
15
06
14
b101100 -
0"
08
1#
19
0%
0;
1&
1<
0(
0>
b10110 *
0)
0?
1,
#450
0,
#455
05
04
06
b101101 -
1)
1?
1'
1=
0$
0:
1%
1;
b10111011 *
1"
18
1,
#460
0,
#465
14
16
b101110 -
0#
09
1$
1:
0'
0=
b11101 *
0)
0?
1,
#470
0,
#475
16
15
b101111 -
1)
1?
1(
1>
1#
19
b11011110 *
0"
08
1,
#480
0,
#485
04
07
b110000 -
1"
18
b11111111 *
1'
1=
1,
#490
0,
#495
05
06
14
b110001 -
0)
0?
b1101111 *
0&
0<
1,
#500
0,
#505
05
17
16
b110010 -
0%
0;
0(
0>
0'
0=
b10000111 *
1)
1?
1,
#510
0,
#515
06
05
07
04
b110011 -
1(
1>
1&
1<
b11010011 *
0$
0:
1,
#520
0,
#525
15
06
04
b110100 -
0#
09
1%
1;
0&
0<
0(
0>
b1001 *
0)
0?
1,
#530
0,
#535
16
05
14
b110101 -
1'
1=
1$
1:
0!
0%
0;
b100100 *
0"
08
1,
#540
0,
#545
04
15
06
b110110 -
1#
19
0$
0:
1(
1>
0'
0=
b11000010 *
1)
1?
1,
#550
0,
#555
06
17
05
b110111 -
0)
0?
1'
1=
0(
0>
0#
09
b100001 *
1"
18
1,
#560
0,
#565
15
07
04
b111000 -
0"
08
1&
1<
b10000 *
0'
0=
1,
#570
0,
#575
17
14
b111001 -
1'
1=
0&
0<
b101000 *
1%
1;
1,
#580
0,
#585
07
06
04
b111010 -
0%
0;
1$
1:
1&
1<
b10110100 *
1)
1?
1,
#590
0,
#595
16
17
04
b111011 -
0)
0?
0&
0<
0$
0:
1%
1;
b101010 *
1#
19
1,
#600
0,
#605
07
05
14
b111100 -
1"
18
0#
09
0%
0;
1$
1:
1&
1<
b1110101 *
1(
1>
1,
#610
0,
#615
06
04
b111101 -
1)
1?
0'
0=
0&
0<
0$
0:
1%
1;
1#
19
b11001010 *
0"
08
1,
#620
0,
#625
17
05
16
b111110 -
1"
18
0#
09
0%
0;
1$
1:
0(
0>
b101 *
0)
0?
1,
#630
0,
#635
05
07
14
b111111 -
1(
1>
1&
1<
0$
0:
1#
19
b1010010 *
0"
08
1,
#640
0,
#645
16
04
b1000000 -
1"
18
0#
09
1%
1;
0&
0<
b11001001 *
1)
1?
1,
#650
0,
#655
15
14
16
17
b1000001 -
0)
0?
1$
1:
0%
0;
b1000100 *
0"
08
1,
#660
0,
#665
05
06
14
b1000010 -
1#
19
0$
0:
1&
1<
1'
1=
b11110010 *
1)
1?
1,
#670
0,
#675
16
07
05
b1000011 -
0'
0=
0(
0>
1%
1;
0#
09
b10011001 *
1"
18
1,
#680
0,
#685
06
17
05
14
b1000100 -
0"
08
1$
1:
0&
0<
b11001100 *
1(
1>
1,
#690
0,
#695
16
15
14
b1000101 -
0(
0>
1&
1<
0%
0;
b10010110 *
1#
19
1,
#700
0,
#705
06
04
15
b1000110 -
1"
18
1%
1;
0$
0:
1(
1>
b11111011 *
1'
1=
1,
#710
0,
#715
14
16
05
07
b1000111 -
0)
0?
0(
0>
1$
1:
b111101 *
0#
09
1,
#720
0,
#725
05
17
16
04
b1001000 -
0"
08
1#
19
0&
0<
1(
1>
0'
0=
b11001110 *
1)
1?
1,
#730
0,
#735
06
04
b1001001 -
0)
0?
1&
1<
0%
0;
b1010111 *
1"
18
1,
#740
0,
#745
16
07
05
b1001010 -
1%
1;
0$
0:
b11011 *
0(
0>
1,
#750
0,
#755
05
17
04
b1001011 -
1(
1>
0&
0<
1$
1:
b1001101 *
0#
09
1,
#760
0,
#765
06
14
b1001100 -
0"
08
1#
19
0%
0;
b1010110 *
1&
1<
1,
#770
0,
#775
04
06
05
07
b1001101 -
1)
1?
0(
0>
0$
0:
1%
1;
b10011011 *
1"
18
1,
#780
0,
#785
15
17
16
04
b1001110 -
0#
09
1$
1:
0&
0<
b1101 *
0)
0?
1,
#790
0,
#795
06
07
05
14
b1001111 -
1'
1=
1(
1>
1&
1<
0%
0;
1#
19
b1110110 *
0"
08
1,
#800
0,
#805
15
06
14
b1010000 -
1"
18
1%
1;
0$
0:
0&
0<
0(
0>
0'
0=
b10001011 *
1)
1?
1,
#810
0,
#815
05
04
b1010001 -
1'
1=
1$
1:
0%
0;
b10100101 *
0#
09
1,
#820
0,
#825
16
b1010010 -
0"
08
1#
19
0$
0:
0'
0=
b10 *
0)
0?
1,
#830
0,
#835
06
15
b1010011 -
1(
1>
0#
09
b1000001 *
1"
18
1,
#840
0,
#845
14
05
17
b1010100 -
0"
08
0(
0>
b100000 *
1'
1=
1,
#850
0,
#855
15
16
07
04
b1010101 -
1)
1?
0'
0=
b10010000 *
1&
1<
1,
#860
0,
#865
05
17
06
14
b1010110 -
1%
1;
0&
0<
1(
1>
1'
1=
b1101000 *
0)
0?
1,
#870
0,
#875
06
15
04
b1010111 -
1)
1?
0'
0=
0(
0>
1&
1<
1$
1:
b10010100 *
0%
0;
1,
#880
0,
#885
05
14
16
b1011000 -
1#
19
1%
1;
0$
0:
1'
1=
b111010 *
0)
0?
1,
#890
0,
#895
06
15
b1011001 -
1)
1?
0'
0=
1(
1>
1$
1:
0#
09
b11011101 *
1"
18
1,
#900
0,
#905
16
05
07
b1011010 -
0"
08
1#
19
0(
0>
b10111110 *
1'
1=
1,
#910
0,
#915
17
05
14
b1011011 -
0'
0=
1(
1>
0&
0<
b11001111 *
1"
18
1,
#920
0,
#925
04
b1011100 -
0%
0;
b11010111 *
1&
1<
1,
#930
0,
#935
15
16
07
b1011101 -
0)
0?
0$
0:
b1011011 *
1%
1;
1,
#940
0,
#945
05
04
b1011110 -
0#
09
1$
1:
0&
0<
b1101101 *
1'
1=
1,
#950
0,
#955
15
06
17
b1011111 -
0'
0=
0%
0;
1#
19
b1000110 *
0"
08
1,
#960
0,
#965
16
15
04
b1100000 -
1"
18
0$
0:
1&
1<
0(
0>
b110011 *
1'
1=
1,
#970
0,
#975
06
14
15
b1100001 -
1(
1>
1%
1;
b1111001 *
0#
09
1,
#980
0,
#985
04
07
05
06
b1100010 -
0"
08
1$
1:
0(
0>
b10111100 *
1)
1?
1,
#990
0,
#995
15
06
17
04
b1100011 -
0)
0?
0'
0=
0&
0<
b1110 *
1#
19
1,
#1000
0,
#1005
07
04
b1100100 -
1"
18
0%
0;
1&
1<
b110111 *
1'
1=
1,
#1010
0,
#1015
16
17
14
b1100101 -
0&
0<
0$
0:
b101011 *
1%
1;
1,
#1020
0,
#1025
07
05
06
14
b1100110 -
0#
09
0%
0;
1$
1:
1&
1<
1(
1>
b11110101 *
1)
1?
1,
#1030
0,
#1035
15
04
b1100111 -
0'
0=
0(
0>
0&
0<
0$
0:
1%
1;
1#
19
b10001010 *
0"
08
1,
#1040
0,
#1045
05
16
b1101000 -
1"
18
0#
09
0%
0;
1$
1:
1'
1=
b100101 *
0)
0?
1,
#1050
0,
#1055
15
b1101001 -
0'
0=
1(
1>
0$
0:
1#
19
b1000010 *
0"
08
1,
#1060
0,
#1065
06
17
b1101010 -
1"
18
0#
09
b1100001 *
1'
1=
1,
#1070
0,
#1075
15
04
b1101011 -
0(
0>
1&
1<
b110000 *
0"
08
1,
#1080
0,
#1085
05
b1101100 -
b111000 *
1%
1;
1,
#1090
0,
#1095
16
b1101101 -
0'
0=
b11100 *
1$
1:
1,
#1100
0,
#1105
06
14
15
b1101110 -
1#
19
b1011110 *
1(
1>
1,
#1110
0,
#1115
04
16
07
05
b1101111 -
1)
1?
1'
1=
0(
0>
b10111111 *
1"
18
1,
#1120
0,
#1125
05
17
06
14
b1110000 -
0&
0<
1(
1>
0'
0=
b1001111 *
0)
0?
1,
#1130
0,
#1135
16
15
04
b1110001 -
1)
1?
0(
0>
1&
1<
b10010111 *
0%
0;
1,
#1140
0,
#1145
15
16
b1110010 -
1%
1;
0$
0:
1(
1>
1'
1=
b1111011 *
0)
0?
1,
#1150
0,
#1155
14
07
b1110011 -
1$
1:
b1111101 *
0#
09
1,
#1160
0,
#1165
05
16
04
b1110100 -
0"
08
1#
19
0&
0<
b11101110 *
1)
1?
1,
#1170
0,
#1175
15
14
06
17
b1110101 -
0)
0?
0'
0=
0%
0;
b1000111 *
1"
18
1,
#1180
0,
#1185
15
06
04
b1110110 -
0$
0:
1&
1<
0(
0>
1'
1=
b10110011 *
1)
1?
1,
#1190
0,
#1195
05
14
06
b1110111 -
0)
0?
1%
1;
b111001 *
0#
09
1,
#1200
0,
#1205
04
06
b1111000 -
0"
08
1$
1:
0'
0=
b10011100 *
1)
1?
1,
#1210
0,
#1215
14
06
b1111001 -
0)
0?
b11110 *
1#
19
1,
#1220
0,
#1225
04
16
b1111010 -
1"
18
b10011111 *
1)
1?
1,
#1230
0,
#1235
06
15
b1111011 -
0)
0?
b1011111 *
1(
1>
1,
#1240
0,
#1245
05
17
b1111100 -
0(
0>
b111111 *
1'
1=
1,
#1250
0,
#1255
07
b1111101 -
b11111 *
0'
0=
1,
#1260
0,
#1265
15
17
14
b1111110 -
b1111 *
0&
0<
1,
#1270
0,
#1275
07
16
04
b1111111 -
1)
1?
1'
1=
1&
1<
b10110111 *
0%
0;
1,
#1280
0,
#1285
17
05
16
14
b10000000 -
1%
1;
0$
0:
0&
0<
1(
1>
b1101011 *
0)
0?
1,
#1290
0,
#1295
06
14
b10000001 -
1)
1?
0'
0=
1&
1<
1$
1:
0%
0;
b11010101 *
0#
09
1,
#1300
0,
#1305
07
05
b10000010 -
0"
08
1#
19
1%
1;
0$
0:
b10011010 *
0(
0>
1,
#1310
0,
#1315
15
17
04
b10000011 -
0&
0<
1$
1:
0#
09
b10001101 *
1"
18
1,
#1320
0,
#1325
07
06
14
b10000100 -
0"
08
1#
19
0%
0;
1&
1<
1'
1=
b110110 *
0)
0?
1,
#1330
0,
#1335
06
17
14
b10000101 -
1)
1?
0&
0<
0$
0:
1%
1;
b10101011 *
1"
18
1,
#1340
0,
#1345
07
14
b10000110 -
0#
09
0%
0;
1$
1:
b10110101 *
1&
1<
1,
#1350
0,
#1355
17
04
b10000111 -
0&
0<
0$
0:
1%
1;
1#
19
b10101010 *
0"
08
1,
#1360
0,
#1365
07
16
14
b10001000 -
1"
18
0#
09
0%
0;
1$
1:
1&
1<
b110101 *
0)
0?
1,
#1370
0,
#1375
06
05
17
04
b10001001 -
1)
1?
1(
1>
0&
0<
0$
0:
1%
1;
1#
19
b11101010 *
0"
08
1,
#1380
0,
#1385
15
16
14
b10001010 -
1"
18
0#
09
0%
0;
1$
1:
1&
1<
0(
0>
0'
0=
b10101 *
0)
0?
1,
#1390
0,
#1395
06
15
b10001011 -
1)
1?
1'
1=
1(
1>
0$
0:
1%
1;
1#
19
b11111010 *
0"
08
1,
#1400
0,
#1405
07
05
b10001100 -
1"
18
0#
09
1$
1:
b10111101 *
0(
0>
1,
#1410
0,
#1415
15
16
17
04
b10001101 -
0'
0=
0&
0<
1#
19
b10001110 *
0"
08
1,
#1420
0,
#1425
05
07
06
04
b10001110 -
1"
18
0%
0;
1&
1<
1(
1>
1'
1=
b1110111 *
0)
0?
1,
#1430
0,
#1435
16
15
14
b10001111 -
0'
0=
0(
0>
0&
0<
0$
0:
b1011 *
1%
1;
1,
#1440
0,
#1445
04
15
06
b10010000 -
0#
09
0%
0;
1$
1:
1(
1>
1'
1=
b11100101 *
1)
1?
1,
#1450
0,
#1455
16
05
17
b10010001 -
0)
0?
0(
0>
0$
0:
1#
19
b100010 *
0"
08
1,
#1460
0,
#1465
06
05
07
14
b10010010 -
1"
18
0#
09
1&
1<
1(
1>
b1010001 *
0'
0=
1,
#1470
0,
#1475
16
15
14
b10010011 -
1)
1?
0(
0>
0&
0<
1%
1;
b10001000 *
0"
08
1,
#1480
0,
#1485
06
15
b10010100 -
0%
0;
1$
1:
1(
1>
b11100100 *
1'
1=
1,
#1490
0,
#1495
04
05
17
b10010101 -
0(
0>
0$
0:
b10100010 *
1#
19
1,
#1500
0,
#1505
15
07
06
14
b10010110 -
1"
18
0#
09
1&
1<
0'
0=
b10001 *
0)
0?
1,
#1510
0,
#1515
16
17
14
b10010111 -
1)
1?
1'
1=
0&
0<
1%
1;
b10101000 *
0"
08
1,
#1520
0,
#1525
06
07
05
04
b10011000 -
0%
0;
1$
1:
1&
1<
b11110100 *
1(
1>
1,
#1530
0,
#1535
16
15
04
b10011001 -
0)
0?
0'
0=
0(
0>
0&
0<
0$
0:
1%
1;
b1010 *
1#
19
1,
#1540
0,
#1545
15
b10011010 -
1"
18
0#
09
0%
0;
1$
1:
1(
1>
b1100101 *
1'
1=
1,
#1550
0,
#1555
17
b10011011 -
0$
0:
1#
19
b1100010 *
0"
08
1,
#1560
0,
#1565
06
05
14
b10011100 -
1"
18
0#
09
b1110001 *
1&
1<
1,
#1570
0,
#1575
04
16
07
05
b10011101 -
1)
1?
0'
0=
0(
0>
1%
1;
b10011000 *
0"
08
1,
#1580
0,
#1585
17
05
16
14
b10011110 -
1$
1:
0&
0<
1(
1>
b1001100 *
0)
0?
1,
#1590
0,
#1595
16
14
b10011111 -
1)
1?
1&
1<
0%
0;
b11010110 *
1#
19
1,
#1600
0,
#1605
15
06
04
07
b10100000 -
1"
18
1%
1;
b11011011 *
0$
0:
1,
#1610
0,
#1615
16
15
04
b10100001 -
0)
0?
1'
1=
0(
0>
0&
0<
1$
1:
b101101 *
0#
09
1,
#1620
0,
#1625
06
15
b10100010 -
0"
08
1#
19
0%
0;
b1100110 *
1(
1>
1,
#1630
0,
#1635
16
14
05
17
b10100011 -
0(
0>
0$
0:
b100011 *
1"
18
1,
#1640
0,
#1645
05
07
16
14
b10100100 -
0#
09
1&
1<
1(
1>
0'
0=
b11010001 *
1)
1?
1,
#1650
0,
#1655
14
b10100101 -
0&
0<
1%
1;
b11001000 *
0"
08
1,
#1660
0,
#1665
15
06
17
b10100110 -
0%
0;
b11000100 *
1$
1:
1,
#1670
0,
#1675
15
14
b10100111 -
1'
1=
0(
0>
1&
1<
0$
0:
b10110010 *
1#
19
1,
#1680
0,
#1685
16
05
b10101000 -
1"
18
0#
09
b10111001 *
1%
1;
1,
#1690
0,
#1695
06
04
15
b10101001 -
0'
0=
1(
1>
1$
1:
b11011100 *
0"
08
1,
#1700
0,
#1705
14
05
07
06
b10101010 -
1#
19
0(
0>
1'
1=
b111110 *
0)
0?
1,
#1710
0,
#1715
15
16
17
14
b10101011 -
1)
1?
0'
0=
0&
0<
b10001111 *
1"
18
1,
#1720
0,
#1725
05
07
04
b10101100 -
0%
0;
1&
1<
1(
1>
b11110111 *
1'
1=
1,
#1730
0,
#1735
16
14
b10101101 -
0)
0?
0'
0=
0&
0<
0$
0:
b1001011 *
1%
1;
1,
#1740
0,
#1745
15
04
17
06
b10101110 -
0#
09
0%
0;
1$
1:
b11000101 *
1)
1?
1,
#1750
0,
#1755
16
15
14
b10101111 -
0)
0?
1'
1=
0(
0>
1&
1<
0$
0:
1#
19
b110010 *
0"
08
1,
#1760
0,
#1765
15
16
b10110000 -
1"
18
0#
09
1%
1;
1(
1>
b11111001 *
1)
1?
1,
#1770
0,
#1775
06
04
07
b10110001 -
1$
1:
b11111100 *
0"
08
1,
#1780
0,
#1785
15
06
04
b10110010 -
1#
19
0&
0<
0(
0>
b101110 *
0)
0?
1,
#1790
0,
#1795
05
14
b10110011 -
0%
0;
b100111 *
1"
18
1,
#1800
0,
#1805
06
b10110100 -
0$
0:
0'
0=
b10000011 *
1)
1?
1,
#1810
0,
#1815
16
04
b10110101 -
b10000001 *
0#
09
1,
#1820
0,
#1825
14
15
06
b10110110 -
0"
08
1(
1>
b1000000 *
0)
0?
1,
#1830
0,
#1835
16
17
05
b10110111 -
1)
1?
1'
1=
0!
b10100000 *
0(
0>
1,
#1840
0,
#1845
05
07
04
b10111000 -
1&
1<
1(
1>
b11010000 *
0'
0=
1,
#1850
0,
#1855
06
14
b10111001 -
0)
0?
0&
0<
b1001000 *
1%
1;
1,
#1860
0,
#1865
17
05
06
b10111010 -
0%
0;
1$
1:
0(
0>
b10000100 *
1)
1?
1,
#1870
0,
#1875
15
07
14
b10111011 -
1&
1<
0$
0:
b10010010 *
1#
19
1,
#1880
0,
#1885
16
17
04
b10111100 -
1"
18
0#
09
1%
1;
0&
0<
b10101001 *
1'
1=
1,
#1890
0,
#1895
16
05
07
04
b10111101 -
0)
0?
1(
1>
1&
1<
1$
1:
0%
0;
b1110100 *
0"
08
1,
#1900
0,
#1905
04
b10111110 -
1#
19
1%
1;
0$
0:
0&
0<
b1001010 *
0'
0=
1,
#1910
0,
#1915
15
17
b10111111 -
1$
1:
0%
0;
0#
09
b1000101 *
1"
18
1,
#1920
0,
#1925
05
14
b11000000 -
0"
08
1#
19
0$
0:
1&
1<
b1110010 *
1'
1=
1,
#1930
0,
#1935
15
16
07
b11000001 -
1)
1?
0'
0=
1%
1;
0#
09
b11011001 *
1"
18
1,
#1940
0,
#1945
06
05
14
b11000010 -
0"
08
1$
1:
0&
0<
b11101100 *
1'
1=
1,
#1950
0,
#1955
16
04
17
05
b11000011 -
0'
0=
0(
0>
0%
0;
b10000110 *
1#
19
1,
#1960
0,
#1965
07
05
16
04
b11000100 -
1"
18
0$
0:
1&
1<
1(
1>
b1010011 *
0)
0?
1,
#1970
0,
#1975
06
04
b11000101 -
0&
0<
1%
1;
b1001001 *
0#
09
1,
#1980
0,
#1985
16
14
17
05
b11000110 -
0"
08
0%
0;
1$
1:
b100 *
0(
0>
1,
#1990
0,
#1995
06
05
07
14
b11000111 -
1)
1?
1(
1>
1&
1<
0$
0:
b11010010 *
1#
19
1,
#2000
0,
#2005
16
15
04
b11001000 -
1"
18
0#
09
1%
1;
0&
0<
b10001001 *
0(
0>
1,
#2010
0,
#2015
14
16
15
b11001001 -
0)
0?
1'
1=
1(
1>
1$
1:
0%
0;
b1100100 *
0"
08
1,
#2020
0,
#2025
04
17
06
b11001010 -
1#
19
0$
0:
b11100010 *
1)
1?
1,
#2030
0,
#2035
06
15
14
b11001011 -
0)
0?
0(
0>
1&
1<
0#
09
b110001 *
1"
18
1,
#2040
0,
#2045
05
04
16
b11001100 -
0"
08
1%
1;
b10111000 *
1)
1?
1,
#2050
0,
#2055
16
15
b11001101 -
0)
0?
0'
0=
1(
1>
b1011100 *
1$
1:
1,
#2060
0,
#2065
06
14
07
b11001110 -
1#
19
b1111110 *
1'
1=
1,
#2070
0,
#2075
16
15
14
b11001111 -
1)
1?
0(
0>
0&
0<
b10101111 *
1"
18
1,
#2080
0,
#2085
15
b11010000 -
0%
0;
b11100111 *
1(
1>
1,
#2090
0,
#2095
06
17
b11010001 -
b11100011 *
0$
0:
1,
#2100
0,
#2105
16
15
14
b11010010 -
0#
09
1&
1<
b10110001 *
0(
0>
1,
#2110
0,
#2115
04
15
b11010011 -
1(
1>
1%
1;
b11111000 *
0"
08
1,
#2120
0,
#2125
07
16
b11010100 -
1$
1:
b1111100 *
0)
0?
1,
#2130
0,
#2135
05
06
04
b11010101 -
0&
0<
b1101110 *
1#
19
1,
#2140
0,
#2145
14
05
17
b11010110 -
1"
18
0%
0;
0(
0>
b111 *
0'
0=
1,
#2150
0,
#2155
15
06
07
04
b11010111 -
1)
1?
1&
1<
b10010011 *
0$
0:
1,
#2160
0,
#2165
17
06
04
b11011000 -
0#
09
1%
1;
0&
0<
1'
1=
b101001 *
0)
0?
1,
#2170
0,
#2175
16
07
04
b11011001 -
1&
1<
1$
1:
0%
0;
b110100 *
0"
08
1,
#2180
0,
#2185
17
05
04
b11011010 -
1#
19
1%
1;
0$
0:
0&
0<
b1101010 *
1(
1>
1,
#2190
0,
#2195
14
b11011011 -
0'
0=
1&
1<
1$
1:
0%
0;
0#
09
b1010101 *
1"
18
1,
#2200
0,
#2205
15
07
06
b11011100 -
0"
08
1#
19
1%
1;
0$
0:
b11011010 *
1)
1?
1,
#2210
0,
#2215
15
04
b11011101 -
1'
1=
0(
0>
0&
0<
1$
1:
0#
09
b10101101 *
1"
18
1,
#2220
0,
#2225
05
06
b11011110 -
0"
08
1#
19
0%
0;
b100110 *
0)
0?
1,
#2230
0,
#2235
16
14
b11011111 -
0'
0=
0$
0:
b11 *
1"
18
1,
#2240
0,
#2245
04
15
16
b11100000 -
0#
09
1(
1>
b11000001 *
1)
1?
1,
#2250
0,
#2255
14
06
17
b11100001 -
0)
0?
1'
1=
b1100000 *
0"
08
1,
#2260
0,
#2265
15
16
04
b11100010 -
1&
1<
0(
0>
b10110000 *
1)
1?
1,
#2270
0,
#2275
06
15
b11100011 -
0)
0?
1(
1>
b1111000 *
1%
1;
1,
#2280
0,
#2285
16
07
05
b11100100 -
1$
1:
b111100 *
0(
0>
1,
#2290
0,
#2295
06
17
05
04
b11100101 -
0'
0=
1(
1>
0&
0<
b1001110 *
1#
19
1,
#2300
0,
#2305
15
04
b11100110 -
1"
18
0%
0;
1&
1<
b10111 *
0(
0>
1,
#2310
0,
#2315
16
05
b11100111 -
1'
1=
0$
0:
b111011 *
1%
1;
1,
#2320
0,
#2325
14
15
b11101000 -
0#
09
1$
1:
1(
1>
b1011101 *
0'
0=
1,
#2330
0,
#2335
16
07
b11101001 -
1)
1?
1'
1=
1#
19
b11111110 *
0"
08
1,
#2340
0,
#2345
05
14
b11101010 -
1"
18
b11101111 *
0&
0<
1,
#2350
0,
#2355
15
17
b11101011 -
0'
0=
b11000111 *
0%
0;
1,
#2360
0,
#2365
06
05
04
b11101100 -
0$
0:
1&
1<
b11110011 *
1'
1=
1,
#2370
0,
#2375
14
06
07
05
b11101101 -
0)
0?
0'
0=
0(
0>
1%
1;
b11001 *
0#
09
1,
#2380
0,
#2385
15
17
06
14
b11101110 -
0"
08
1$
1:
0&
0<
b10001100 *
1)
1?
1,
#2390
0,
#2395
16
07
14
b11101111 -
1'
1=
1&
1<
0%
0;
b10110110 *
1#
19
1,
#2400
0,
#2405
06
17
05
14
b11110000 -
1"
18
1%
1;
0$
0:
0&
0<
b11101011 *
1(
1>
1,
#2410
0,
#2415
15
14
b11110001 -
0'
0=
0(
0>
1&
1<
1$
1:
0%
0;
b10010101 *
0#
09
1,
#2420
0,
#2425
05
b11110010 -
0"
08
1#
19
1%
1;
0$
0:
b10111010 *
1'
1=
1,
#2430
0,
#2435
b11110011 -
0'
0=
1$
1:
0#
09
b10011101 *
1"
18
1,
#2440
0,
#2445
16
b11110100 -
0"
08
b10011110 *
1#
19
1,
#2450
0,
#2455
04
15
b11110101 -
1(
1>
b11011111 *
1"
18
1,
#2460
0,
#2465
07
06
b11110110 -
1'
1=
b1111111 *
0)
0?
1,
#2470
0,
#2475
15
14
b11110111 -
0(
0>
b101111 *
0&
0<
1,
#2480
0,
#2485
05
16
b11111000 -
0%
0;
b10100111 *
1)
1?
1,
#2490
0,
#2495
06
15
b11111001 -
0'
0=
1(
1>
b11000011 *
0$
0:
1,
#2500
0,
#2505
16
04
05
17
b11111010 -
0#
09
0(
0>
b10100001 *
1'
1=
1,
#2510
0,
#2515
06
07
05
04
b11111011 -
0)
0?
0'
0=
1(
1>
1&
1<
b1010000 *
0"
08
1,
#2520
0,
#2525
15
14
b11111100 -
1%
1;
0!
0&
0<
b1000 *
0(
0>
1,
#2530
0,
#2535
05
06
b11111101 -
1)
1?
1'
1=
1$
1:
0!
b10100100 *
0%
0;
1,
#2540
0,
#2545
04
b11111110 -
1#
19
0$
0:
b10000010 *
0'
0=
1,
#2550
0,
#2555
06
b11111111 -
0)
0?
0#
09
b1 *
1"
18
1,
#2560
0,
#2565
14
1+
b0 -
1!
b0 *
0"
08
1,
#2570
0,
#2575
16
1.
0+
b1 -
0!
b10000000 *
1)
1?
1,
#2580
0,
#2585
15
b10 -
b11000000 *
1(
1>
1,

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.