OpenCores
URL https://opencores.org/ocsvn/oab1/oab1/trunk

Subversion Repositories oab1

[/] [oab1/] [web_uploads/] [index.htm] - Rev 6

Compare with Previous | Blame | View Log

<HTML>
  <HEAD>
    <META NAME="keywords" CONTENT="cores, VHDL, Verilog HDL, ASIC, Synthesizable,
      standard cell, IP, Intellectual Property, 32-bit RISC, UART, PCI, SDRAM,
      full custom, system on a chip, SOC, reusable, design, development, synthesis,
      designs, developers, C, Linux, eCos, open, free, open source cores, RTL code,
      system-on-a-chip, circuits, digital, GNU, GPL, core, controller, processor,
      system design, chip design, EDA, design methodology, design tools, ASICs, programmable logic,
      FPGA's, PLDs, CPLDs, verification, Synthesis, HDL, Simulation, IC design software,
      semiconductor design, integrated circuits, system designs, chip designs, EDAs, 
      design methodologies, design tool, ASIC, programmable logics, FPGA, PLD, CPLD, Synthesis, 
      circuit, Synopsys, system design, chip design, programmable logic, FPGA's, PLDs, 
      CPLDs, verification, Simulation">
    <META NAME="description" CONTENT="OPENCORES.ORG endorses development and hosts
      a repository of free, open source IP cores (chip designs, System-on-a-Chip) and
      supplemental boards.">
 
 
    <STYLE type=text/css>
      BODY {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      P {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      DIV {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      TD {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      TR {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      FORM {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      OL {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      UL {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      LI {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      B {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      I {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      U {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      INPUT {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      TEXTAREA {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      SELECT {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      A {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      A:hover {color:"#ff3300";}
      FONT {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      .email {color: "#000088"}
    </STYLE>
 
    <title>
 
        OPENCORES.ORG
 
    </title>
 
    <base target="_blank">
 
  </HEAD>
 
  <BODY  
    bgColor=#ffffff 
    text=#000000 
    link=#000088
    vLink=#444444
    aLink=#ff0000
    leftMargin=0 
    topMargin=0
    marginheight=0
    marginwidth=0
  >
 
    <TABLE align=center border=0 cellPadding=0 cellSpacing=0 width="100%" valign="top">
    <TBODY>
      <TR  bgColor=#ffffff>
        <td nowrap width=95 align=right>
          <a href="/"><img src="title_logo.gif" border=0 alt="Home"></a>
        </td>
        <TD align=right>
          <center>
          <table border=0 cellPadding=8 cellSpacing=0 valign="center"><tr><td width=10>    
            <font size=+3><b>OPENCORES.ORG</b>
          </td></tr></table>
          </center> 
        </TD>
        <td nowrap width=95 align=right>
           &nbsp;
        </td>
      </TR>
      <TR><TD bgColor=#000000 height=1 colspan=3><IMG alt='' height=1 src="dotty.gif" width=1></TD></TR>
    </TBODY>
  </TABLE>
 
  <TABLE align=center border=0 cellPadding=0 cellSpacing=0 width="100%" valign="top">
    <tr bgcolor=#bbccff>
      <td valign=center>
        <table border=0 cellPadding=2 cellSpacing=0 width="100%" valign="top">
        <tr>
          <td width=10></td>
          <td align=center valign=center>
            <a href="/mission.shtml" target="_top"><font color=#000000>Mission</font></a>
            | <a href="/faq.shtml" target="_top"><font color=#000000>FAQ</font></a>
            | <a href="/projects.shtml" target="_top"><font color=#000000>Projects</font></a>
            | <a href="/cvs.shtml" target="_top"><font color=#000000>CVS</font></a>
            | <a href="/mailinglists.shtml" target="_top"><font color=#000000>Mailing lists</font></a> 
            | <a href="/media.shtml" target="_top"><font color=#000000>Media</font></a>
            | <a href="/tools.shtml" target="_top"><font color=#000000>Tools</font></a>
            | <a href="/OIPC/" target="_top"><font color=#000000>OpenIPCore</font></a>
          </td>
 
          <td width=10></td><form action=http://www.opencores.org/search.shtml>
          <td align=right valign=center>
            Search <font size=-1><input type=query name=words size=12></font>
          </td><td><font size=-3>|</font></td></form>
        </tr></table>
      </td></tr>
      <tr><td bgColor=#000000 height=1><IMG alt='' height=1 src="dotty.gif" width=1></td></tr>
    </table>
 
 
 
    <TABLE align=center border=0 cellPadding=0 cellSpacing=0 width="100%" VALIGN="TOP">
      <TR>
        <TD valign=top width=150 bgcolor=#f0f0f0>
          <TABLE border=0 cellPadding=3 cellSpacing=0 Valign="TOP" width=100%>
            <TR>
              <TD width=5><font size=-2>&nbsp;</font></TD>
              <TD width="100%" valign=top>
 
 
	        <p><b>More</b>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="http://www.opencores.org/cvsweb.shtml/">CVSWeb</a></td></tr></table>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/cvsmodule.shtml">CVSget</a></td></tr></table>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/ml-archive/cores/maillist.shtml">Mailing list archives</a></td></tr></table>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/mirrors.shtml">Mirrors</a></td></tr></table>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/sponsors.shtml">Sponsors</a></td></tr></table>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/logos/">Logos</a></td></tr></table>
 
	        <p><b>OpenIPCore</b>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/goals.shtml" target="_top">Goals</a></td></tr></table>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/def.shtml" target="_top">Definition</a></td></tr></table>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/why.shtml" target="_top">Why</a></td></tr></table>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/problem.shtml" target="_top">Problems</a></td></tr></table>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/business.shtml" target="_top">Business</a></td></tr></table>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/lic.shtml" target="_top">Protection</a></td></tr></table>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/road.shtml">Road map</a></td></tr></table>
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/flow.shtml">Design flow</a></td></tr></table>
 
	        <p><b>Last updated</b>
 
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/cores/rs232_syscon/people.shtml">rs232_syscon project</a></td></tr></table>
 
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/projects.shtml">Projects page</a></td></tr></table>
 
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/cores/bluetooth/index.shtml">Bluetooth project</a></td></tr></table>
 
	        <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/index.shtml">Start page</a></td></tr></table>
 
 
		<p>
 
 
			<FORM ACTION="/cgi-bin/poll/poll.cgi">
			<INPUT TYPE=hidden NAME=action VALUE=vote>
			<TABLE CELLPADDING=0 CELLSPACING=0 BORDER=0 width=100%>
			<TD BGCOLOR=#000000>
 
			<TABLE CELLPADDING=4 CELLSPACING=1 BORDER=0 width=100%>
			<TR><TD COLSPAN=2 VALIGN=top BGCOLOR=#bbccff><strong><FONT SIZE=-1>Your interest in OpenCores is?&nbsp;</strong></TD></TR>
			<TR><TD COLSPAN=2 VALIGN=top BGCOLOR=#ffffff>
			<table border=0 cellpadding=3 cellspacing=0><TR>
				<TD VALIGN=top BGCOLOR=#ffffff width=1%><INPUT TYPE=radio NAME=vote VALUE=1></TD>
				<TD BGCOLOR=#ffffff><FONT SIZE=-1>User of cores</TD>
			</TR></table>
			<table border=0 cellpadding=3 cellspacing=0><TR>
				<TD VALIGN=top BGCOLOR=#ffffff width=1%><INPUT TYPE=radio NAME=vote VALUE=2></TD>
				<TD BGCOLOR=#ffffff><FONT SIZE=-1>Participation in development</TD>
			</TR></table>
			<table border=0 cellpadding=3 cellspacing=0><TR>
				<TD VALIGN=top BGCOLOR=#ffffff width=1%><INPUT TYPE=radio NAME=vote VALUE=3></TD>
				<TD BGCOLOR=#ffffff><FONT SIZE=-1>Just passing by</TD>
			</TR></table>
			<center><table>
			<TR>
				<TD VALIGN=top ALIGN=center BGCOLOR=#ffffff COLSPAN=2>
					<FONT SIZE=-1>
					<INPUT TYPE=submit  VALUE=" vote ">
				</td>
			</tr>
			</table></td></tr>
			<TR><TD COLSPAN=2 VALIGN=top BGCOLOR=#ffffff>
			<table width=100% border=0 cellspacing=0 cellpadding=0><tr><td>
			<center><A HREF=/cores/ocrp-1/index.shtml?action=view>Results</A>			</center>			</td>
			</tr></table>
			</TD>
			</TR>
			</TABLE>
			</TD></TABLE></form>		<p>
		<TABLE CELLPADDING=1 CELLSPACING=0 BORDER=0 width=100%>
	        <TD BGCOLOR=#000000>
		<TABLE CELLPADDING=3 CELLSPACING=0 BORDER=0 width=100% bgcolor=#ffffff>
		<tr><td><center><a href="http://www.opencores.org/poll_archive/">Past polls</a></center></td></tr></table>
		</td></table>
 
 
                <p><br><p><br>
	      </TD>
              <TD width="10">&nbsp;</TD>
            </TR>
          </TABLE>
        </TD>
        <TD bgColor=#000000 height=1 valign=top><IMG alt='' height=1 src="dotty.gif" width=1></TD>
        <TD align=left bgColor=#ffffff vAlign=top>
          <TABLE border=0 cellPadding=5 cellSpacing=0 Valign="TOP" width=100%>
            <TR>
              <TD width="10">&nbsp;</TD>
              <TD width="100%" valign=top>
 
<!-- BODY --><b><font size=+2 face="Helvetica, Arial"
color=#bf0000>Project Name:&nbsp;</font></b>
<p><b><font size=+2 face="Helvetica, Arial"
color=#bf0000>OPENCORES Application Board 1 (OAB1)</font></b></p>
<h1><font size="4">Introduction</font></h1>
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">As
you know, we have lots of free IP cores here, and we’ll have more coming soon.
We have to use these cores otherwise they are invaluable. For this reason the
idea of designing serials and open design boards are going to be available for
any designers around the world. <o:p>
</o:p>
</span></p>
<h1><span style="mso-bidi-font-size: 12.0pt"><font size="4">Objective<o:p>
</o:p>
</font></span></h1>
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">This
project is intended to: <o:p>
</o:p>
</span></p>
<ul>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">To
    design schematic can deal with analog signal and transport through Ethernet.
    <o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">To
    implementation CPU core and Ethernet core to one FPGA chip <o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">To
    program the necessary operation system and application software to achieve
    the goal.<o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">To
    build the prototype board.<o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">To
    do the test for all functions.<o:p>
    </o:p>
    </span></li>
</ul>
<h1><font size="4"><span style="font-family: Arial; mso-bidi-font-size: 12.0pt">&nbsp;</span>Design
Flow</font></h1>
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">This
project can be divided into two parts. The board design and the cores design.
Anyone can use free or commercial tools to design and implement this project</span></p>
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Board
design flow can be done through four steps: <o:p>
</o:p>
</span></p>
<ul>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Block
    Diagram design: I hope we use word 97+ for easy modify and exchange. <o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Schematic
    entry: I will post schematic using PDF format. Anyone can also send me using
    PDF, protel99se or Cadence format. <o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Layout
    design: The final layout will use Allegro or Protel99se. <o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Board
    implementation: This is the final step in the design where the designer
    should work himself to produce his board unless we get funding or donation
    from PCB manufactory.<o:p>
    </o:p>
    </span></li>
</ul>
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Cores
design flow can be done through five steps: <o:p>
</o:p>
</span></p>
<ul>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Design
    entry: Doesn’t matter the tools, we exchange only VHDL or Verilog codes. <o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Simulation:
    I hope to use ModelSim or Active-HDL, but other tools also welcome.<o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Synthesis:
    I hope to use FPGA express, but other tools also welcome.<o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Implementation:
    I hope to use Xilinx FPGA.<o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Programming
    Download: Using onboard parallel cable.<o:p>
    </o:p>
    </span></li>
</ul>
<h1><font size="4"><span style="font-family: Arial; mso-bidi-font-size: 12.0pt">&nbsp;</span>System
Description</font></h1>
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">This
is the Board block diagram<br style="mso-special-character: line-break">
<br style="mso-special-character: line-break">
</span><span style="FONT-FAMILY: Verdana"><o:p>
</o:p>
</span><span style="FONT-FAMILY: Verdana"><o:p>
 <img border="0" src="ver02.jpg" width="750" height="675"></o:p>
</span></p>
<p class="MsoNormal"><span style="FONT-FAMILY: Verdana"><span style="mso-spacerun: yes">&nbsp;&nbsp;
</span>
</span><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">The
system is composed of 5 main blocks:<o:p>
</o:p>
</span></p>
<ul>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Xilinx
    FPGA, PROM and parallel interface<o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">SRAM
    circuit<o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">ADC
    and DAC interface<o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Ethernet
    interface<o:p>
    </o:p>
    </span></li>
  <li>
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Power
    and reset circuit<o:p>
    </o:p>
    </span></li>
</ul>
<h1><font size="4">Schematic Design</font></h1>
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">TBD<o:p>
</o:p>
</span></p>
<h1><font size="4">Layout Design</font></h1>
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">TBD
<o:p>
</o:p>
</span></p>
<h1><font size="4">Current Status:</font></h1>
<p style="mso-margin-top-alt: auto; mso-margin-bottom-alt: auto"><span style="font-size: 14pt; font-family: Arial; mso-bidi-font-size: 12.0pt">2001.8.20
Upload Board block diagram <a href="ver01.JPG">version 0.1</a></span></p>
<p style="mso-margin-top-alt: auto; mso-margin-bottom-alt: auto"><span style="font-size: 14pt; font-family: Arial; mso-bidi-font-size: 12.0pt">2001.8.21
Upload Board block diagram <a href="ver02.jpg">version 0.2</a></span></p>
<h1><font size="4">Maintainer(s):</font></h1>
<h1><font size="3">Martin Jiang <a href="mailto:martinj@opencores.org"><span style="FONT-FAMILY: 'Times New Roman'; mso-bidi-font-family: Arial">martinj@opencores.org</span></a></font></h1>
<h1><font size="4">Mailing-list:</font></h1>
<p class="MsoNormal"><span style="FONT-FAMILY: Verdana"><a href="mailto:cores@opencores.org_NOSPAM">cores@opencores.org_NOSPAM</a><o:p>
</o:p>
</span></p>
<h1><font size="4">References tools and links</font></h1>
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt"><a href="http://xoscope.sourceforge.net/"><span style="mso-ascii-font-family: Arial; mso-hansi-font-family: Arial">Xscope
home page</span></a> <o:p>
</o:p>
</span></p>
<p class="MsoNormal"><span style="font-size: 14pt; font-family: Arial; mso-bidi-font-size: 12.0pt">SSM2141
<a href="http://products.analog.com/products/info.asp?product=SSM2141">Datasheet</a></span></p>
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">DAC8248
<a href="http://products.analog.com/products/info.asp?product=DAC8248">Datasheet</a>
</span></p>
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">AD7854
<a href="http://products.analog.com/products/info.asp?product=AD7854">Datasheet</a>
</span></p>
<p class="MsoNormal"><span style="font-size: 14pt; font-family: Arial; mso-bidi-font-size: 12.0pt">RTL8201</span><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">
<a href="http://www.realtek.com.tw/htm/download/cgi/DLd1.cgi?model=rtl8201&amp;type=1"><span style="mso-ascii-font-family: Arial; mso-hansi-font-family: Arial">Datasheet</span></a><o:p></o:p>
</span></p>
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">PE68515
<a href="http://www.pulseeng.com/pdf/H303.pdf">Datasheet</a>
</span></p>
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Xilinx
Virtex-E <a href="http://www.xilinx.com/partinfo/ds022.htm"><span style="mso-ascii-font-family: Arial; mso-hansi-font-family: Arial">Datasheet</span></a><o:p>
</o:p>
</span></p>
<p> 
<font size=+1><b>Acknowledgment</b></font>
              </TD>
              <TD width="10">&nbsp;</TD>
            </TR>
          </TABLE>
        </td>
      </tr>
      <TR>
        <TD valign=top width=150 bgcolor=#f0f0f0>
          <center>
          <table cellspacing=0 cellpadding=6 border=0><tr><td><a href="mailto:webmaster@opencores.org_NOSPAM">webmaster</a></td></tr></table>
          </center>
        </TD>
        <TD bgColor=#000000 height=1 valign=bottom><IMG alt='' height=1 src="dotty.gif" width=1></TD>
        <TD align=right bgColor=#ffffff vAlign=top>
          <table cellspacing=0 cellpadding=6 border=0><tr><td>
 
 
            <a target="_/cores/ocrp-1/index.shtml" href="http://www.opencores.org/editor?cmd=direct_edit&filename=/cores/ocrp-1/index.shtml">Last modified on
            <!--webbot bot="Timestamp" startspan S-Type="EDITED"
            S-Format="%m/%d/%y %I:%M:%S %p" -->08/21/01 03:20:20 PM<!--webbot
            bot="Timestamp" i-CheckSum="26672" endspan -->
            </a></td></tr></table>
 
        </td>
      </tr>
    </TABLE>
 
  <TABLE border=0 cellPadding=0 cellSpacing=0 Valign="TOP" width=100%>
    <TR>
      <TD bgColor=#000000 height=1><IMG alt='' height=1 src="dotty.gif" width=1></TD>
    </TR>
    <tr>
      <td>
        <center>
        <table cellspacing=0 cellpadding=4 border=0><tr><td><center>Administrative contact: <a href="mailto:administration@opencores.org">administration@opencores.org</a></center></td></tr><tr><td>Copyright ©1999-2001 OPENCORES.ORG. All rights reserved.</td></tr></table>
      </td>
    </tr>
  </TABLE>
 
</BODY>
</HTML>
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.