OpenCores
URL https://opencores.org/ocsvn/oops/oops/trunk

Subversion Repositories oops

[/] [oops/] [trunk/] [rtl/] [psel.v] - Rev 2

Compare with Previous | Blame | View Log

// Generic priority selector module
module psel (req, gnt);
  //synopsys template
  parameter WIDTH=8;
  input wire  [WIDTH-1:0] req;
  output wire [WIDTH-1:0] gnt;
 
  //priority selector
  genvar i;
  generate
    for(i = WIDTH-1; i>0; i=i-1)
    begin: sel
        assign gnt[i] = req[i] & ~(|req[i-1:0]);
    end
 
    assign gnt[0] = req[0];
  endgenerate
 
endmodule
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.