OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [DE0_NANO_SOC_ADC/] [DE0_NANO_SOC_QSYS.qsys] - Rev 221

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<system name="$${FILENAME}">
 <component
   name="$${FILENAME}"
   displayName="$${FILENAME}"
   version="1.0"
   description=""
   tags=""
   categories="System" />
 <parameter name="bonusData"><![CDATA[bonusData 
{
   element $${FILENAME}
   {
   }
   element adc_ltc2308
   {
      datum _sortIndex
      {
         value = "6";
         type = "int";
      }
   }
   element jtag_uart.avalon_jtag_slave
   {
      datum baseAddress
      {
         value = "528384";
         type = "String";
      }
   }
   element clk_50
   {
      datum _sortIndex
      {
         value = "0";
         type = "int";
      }
   }
   element sysid_qsys.control_slave
   {
      datum baseAddress
      {
         value = "528392";
         type = "String";
      }
   }
   element nios2_qsys.jtag_debug_module
   {
      datum baseAddress
      {
         value = "526336";
         type = "String";
      }
   }
   element jtag_uart
   {
      datum _sortIndex
      {
         value = "4";
         type = "int";
      }
   }
   element nios2_qsys
   {
      datum _sortIndex
      {
         value = "1";
         type = "int";
      }
   }
   element onchip_memory2
   {
      datum _sortIndex
      {
         value = "2";
         type = "int";
      }
   }
   element pll_sys.outclk0
   {
      datum _clockDomain
      {
         value = "pll_sys";
         type = "String";
      }
   }
   element pll_sys.outclk1
   {
      datum _clockDomain
      {
         value = "pll_adc";
         type = "String";
      }
   }
   element pll_sys
   {
      datum _sortIndex
      {
         value = "5";
         type = "int";
      }
   }
   element onchip_memory2.s1
   {
      datum baseAddress
      {
         value = "262144";
         type = "String";
      }
   }
   element adc_ltc2308.slave
   {
      datum baseAddress
      {
         value = "528400";
         type = "String";
      }
   }
   element sw
   {
      datum _sortIndex
      {
         value = "7";
         type = "int";
      }
   }
   element sysid_qsys
   {
      datum _sortIndex
      {
         value = "3";
         type = "int";
      }
   }
}
]]></parameter>
 <parameter name="clockCrossingAdapter" value="HANDSHAKE" />
 <parameter name="device" value="5CSEMA6F31C6" />
 <parameter name="deviceFamily" value="Cyclone V" />
 <parameter name="deviceSpeedGrade" value="6" />
 <parameter name="fabricMode" value="QSYS" />
 <parameter name="generateLegacySim" value="false" />
 <parameter name="generationId" value="0" />
 <parameter name="globalResetBus" value="false" />
 <parameter name="hdlLanguage" value="VERILOG" />
 <parameter name="hideFromIPCatalog" value="false" />
 <parameter name="maxAdditionalLatency" value="1" />
 <parameter name="projectName" value="DE2_SoC_ADC.qpf" />
 <parameter name="sopcBorderPoints" value="false" />
 <parameter name="systemHash" value="0" />
 <parameter name="testBenchDutName" value="" />
 <parameter name="timeStamp" value="0" />
 <parameter name="useTestBenchNamingPattern" value="false" />
 <instanceScript></instanceScript>
 <interface name="clk" internal="clk_50.clk_in" type="clock" dir="end" />
 <interface name="reset" internal="clk_50.clk_in_reset" type="reset" dir="end" />
 <interface
   name="adc_ltc2308_conduit_end"
   internal="adc_ltc2308.conduit_end"
   type="conduit"
   dir="end" />
 <interface
   name="sw_external_connection"
   internal="sw.external_connection"
   type="conduit"
   dir="end" />
 <interface
   name="pll_sys_locked"
   internal="pll_sys.locked"
   type="conduit"
   dir="end" />
 <interface
   name="pll_sys_outclk2"
   internal="pll_sys.outclk2"
   type="clock"
   dir="start" />
 <module kind="clock_source" version="14.0" enabled="1" name="clk_50">
  <parameter name="clockFrequency" value="50000000" />
  <parameter name="clockFrequencyKnown" value="true" />
  <parameter name="inputClockFrequency" value="0" />
  <parameter name="resetSynchronousEdges" value="NONE" />
 </module>
 <module kind="altera_nios2_qsys" version="14.0" enabled="1" name="nios2_qsys">
  <parameter name="setting_showUnpublishedSettings" value="false" />
  <parameter name="setting_showInternalSettings" value="false" />
  <parameter name="setting_preciseSlaveAccessErrorException" value="false" />
  <parameter name="setting_preciseIllegalMemAccessException" value="false" />
  <parameter name="setting_preciseDivisionErrorException" value="false" />
  <parameter name="setting_performanceCounter" value="false" />
  <parameter name="setting_illegalMemAccessDetection" value="false" />
  <parameter name="setting_illegalInstructionsTrap" value="false" />
  <parameter name="setting_fullWaveformSignals" value="false" />
  <parameter name="setting_extraExceptionInfo" value="false" />
  <parameter name="setting_exportPCB" value="false" />
  <parameter name="setting_debugSimGen" value="false" />
  <parameter name="setting_clearXBitsLDNonBypass" value="true" />
  <parameter name="setting_bit31BypassDCache" value="true" />
  <parameter name="setting_bigEndian" value="false" />
  <parameter name="setting_export_large_RAMs" value="false" />
  <parameter name="setting_asic_enabled" value="false" />
  <parameter name="setting_asic_synopsys_translate_on_off" value="false" />
  <parameter name="setting_oci_export_jtag_signals" value="false" />
  <parameter name="setting_bhtIndexPcOnly" value="false" />
  <parameter name="setting_avalonDebugPortPresent" value="false" />
  <parameter name="setting_alwaysEncrypt" value="true" />
  <parameter name="setting_allowFullAddressRange" value="false" />
  <parameter name="setting_activateTrace" value="true" />
  <parameter name="setting_activateTrace_user" value="false" />
  <parameter name="setting_activateTestEndChecker" value="false" />
  <parameter name="setting_ecc_sim_test_ports" value="false" />
  <parameter name="setting_activateMonitors" value="true" />
  <parameter name="setting_activateModelChecker" value="false" />
  <parameter name="setting_HDLSimCachesCleared" value="true" />
  <parameter name="setting_HBreakTest" value="false" />
  <parameter name="setting_breakslaveoveride" value="false" />
  <parameter name="muldiv_divider" value="false" />
  <parameter name="mpu_useLimit" value="false" />
  <parameter name="mpu_enabled" value="false" />
  <parameter name="mmu_enabled" value="false" />
  <parameter name="mmu_autoAssignTlbPtrSz" value="true" />
  <parameter name="manuallyAssignCpuID" value="true" />
  <parameter name="debug_triggerArming" value="true" />
  <parameter name="debug_embeddedPLL" value="true" />
  <parameter name="debug_debugReqSignals" value="false" />
  <parameter name="debug_assignJtagInstanceID" value="false" />
  <parameter name="dcache_omitDataMaster" value="false" />
  <parameter name="cpuReset" value="false" />
  <parameter name="resetrequest_enabled" value="true" />
  <parameter name="setting_removeRAMinit" value="false" />
  <parameter name="setting_shadowRegisterSets" value="0" />
  <parameter name="mpu_numOfInstRegion" value="8" />
  <parameter name="mpu_numOfDataRegion" value="8" />
  <parameter name="mmu_TLBMissExcOffset" value="0" />
  <parameter name="debug_jtagInstanceID" value="0" />
  <parameter name="resetOffset" value="0" />
  <parameter name="exceptionOffset" value="32" />
  <parameter name="cpuID" value="0" />
  <parameter name="cpuID_stored" value="0" />
  <parameter name="breakOffset" value="32" />
  <parameter name="userDefinedSettings" value="" />
  <parameter name="resetSlave" value="onchip_memory2.s1" />
  <parameter name="mmu_TLBMissExcSlave" value="None" />
  <parameter name="exceptionSlave" value="onchip_memory2.s1" />
  <parameter name="breakSlave">nios2_qsys.jtag_debug_module</parameter>
  <parameter name="setting_perfCounterWidth" value="32" />
  <parameter name="setting_interruptControllerType" value="Internal" />
  <parameter name="setting_branchPredictionType" value="Automatic" />
  <parameter name="setting_bhtPtrSz" value="8" />
  <parameter name="muldiv_multiplierType" value="EmbeddedMulFast" />
  <parameter name="mpu_minInstRegionSize" value="12" />
  <parameter name="mpu_minDataRegionSize" value="12" />
  <parameter name="mmu_uitlbNumEntries" value="4" />
  <parameter name="mmu_udtlbNumEntries" value="6" />
  <parameter name="mmu_tlbPtrSz" value="7" />
  <parameter name="mmu_tlbNumWays" value="16" />
  <parameter name="mmu_processIDNumBits" value="8" />
  <parameter name="impl" value="Fast" />
  <parameter name="icache_size" value="4096" />
  <parameter name="icache_tagramBlockType" value="Automatic" />
  <parameter name="icache_ramBlockType" value="Automatic" />
  <parameter name="icache_numTCIM" value="0" />
  <parameter name="icache_burstType" value="None" />
  <parameter name="dcache_bursts" value="false" />
  <parameter name="dcache_victim_buf_impl" value="ram" />
  <parameter name="debug_level" value="Level1" />
  <parameter name="debug_OCIOnchipTrace" value="_128" />
  <parameter name="dcache_size" value="2048" />
  <parameter name="dcache_tagramBlockType" value="Automatic" />
  <parameter name="dcache_ramBlockType" value="Automatic" />
  <parameter name="dcache_numTCDM" value="0" />
  <parameter name="dcache_lineSize" value="32" />
  <parameter name="setting_exportvectors" value="false" />
  <parameter name="setting_ecc_present" value="false" />
  <parameter name="setting_ic_ecc_present" value="true" />
  <parameter name="setting_rf_ecc_present" value="true" />
  <parameter name="setting_mmu_ecc_present" value="true" />
  <parameter name="setting_dc_ecc_present" value="false" />
  <parameter name="setting_itcm_ecc_present" value="false" />
  <parameter name="setting_dtcm_ecc_present" value="false" />
  <parameter name="regfile_ramBlockType" value="Automatic" />
  <parameter name="ocimem_ramBlockType" value="Automatic" />
  <parameter name="mmu_ramBlockType" value="Automatic" />
  <parameter name="bht_ramBlockType" value="Automatic" />
  <parameter name="instAddrWidth" value="20" />
  <parameter name="dataAddrWidth" value="20" />
  <parameter name="tightlyCoupledDataMaster0AddrWidth" value="1" />
  <parameter name="tightlyCoupledDataMaster1AddrWidth" value="1" />
  <parameter name="tightlyCoupledDataMaster2AddrWidth" value="1" />
  <parameter name="tightlyCoupledDataMaster3AddrWidth" value="1" />
  <parameter name="tightlyCoupledInstructionMaster0AddrWidth" value="1" />
  <parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
  <parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
  <parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
  <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x67100' /><slave name='nios2_qsys.jtag_debug_module' start='0x80800' end='0x81000' /></address-map>]]></parameter>
  <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='sw.s1' start='0x0' end='0x10' /><slave name='onchip_memory2.s1' start='0x40000' end='0x67100' /><slave name='nios2_qsys.jtag_debug_module' start='0x80800' end='0x81000' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81000' end='0x81008' /><slave name='sysid_qsys.control_slave' start='0x81008' end='0x81010' /><slave name='adc_ltc2308.slave' start='0x81010' end='0x81018' /></address-map>]]></parameter>
  <parameter name="clockFrequency" value="100000000" />
  <parameter name="deviceFamilyName" value="Cyclone V" />
  <parameter name="internalIrqMaskSystemInfo" value="3" />
  <parameter name="customInstSlavesSystemInfo" value="&lt;info/&gt;" />
  <parameter name="deviceFeaturesSystemInfo">ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1</parameter>
  <parameter name="tightlyCoupledDataMaster0MapParam" value="" />
  <parameter name="tightlyCoupledDataMaster1MapParam" value="" />
  <parameter name="tightlyCoupledDataMaster2MapParam" value="" />
  <parameter name="tightlyCoupledDataMaster3MapParam" value="" />
  <parameter name="tightlyCoupledInstructionMaster0MapParam" value="" />
  <parameter name="tightlyCoupledInstructionMaster1MapParam" value="" />
  <parameter name="tightlyCoupledInstructionMaster2MapParam" value="" />
  <parameter name="tightlyCoupledInstructionMaster3MapParam" value="" />
 </module>
 <module
   kind="altera_avalon_onchip_memory2"
   version="14.0"
   enabled="1"
   name="onchip_memory2">
  <parameter name="allowInSystemMemoryContentEditor" value="false" />
  <parameter name="blockType" value="AUTO" />
  <parameter name="dataWidth" value="32" />
  <parameter name="dualPort" value="false" />
  <parameter name="initMemContent" value="true" />
  <parameter name="initializationFileName" value="onchip_mem.hex" />
  <parameter name="instanceID" value="NONE" />
  <parameter name="memorySize" value="160000" />
  <parameter name="readDuringWriteMode" value="DONT_CARE" />
  <parameter name="simAllowMRAMContentsFile" value="false" />
  <parameter name="simMemInitOnlyFilename" value="0" />
  <parameter name="singleClockOperation" value="false" />
  <parameter name="slave1Latency" value="1" />
  <parameter name="slave2Latency" value="1" />
  <parameter name="useNonDefaultInitFile" value="false" />
  <parameter name="useShallowMemBlocks" value="false" />
  <parameter name="writable" value="true" />
  <parameter name="ecc_enabled" value="false" />
  <parameter name="resetrequest_enabled" value="true" />
  <parameter name="autoInitializationFileName">$${FILENAME}_onchip_memory2</parameter>
  <parameter name="deviceFamily" value="Cyclone V" />
  <parameter name="deviceFeatures">ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1</parameter>
 </module>
 <module
   kind="altera_avalon_sysid_qsys"
   version="14.0"
   enabled="1"
   name="sysid_qsys">
  <parameter name="id" value="0" />
  <parameter name="timestamp" value="0" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
 </module>
 <module
   kind="altera_avalon_jtag_uart"
   version="14.0"
   enabled="1"
   name="jtag_uart">
  <parameter name="allowMultipleConnections" value="false" />
  <parameter name="hubInstanceID" value="0" />
  <parameter name="readBufferDepth" value="64" />
  <parameter name="readIRQThreshold" value="8" />
  <parameter name="simInputCharacterStream" value="" />
  <parameter name="simInteractiveOptions">NO_INTERACTIVE_WINDOWS</parameter>
  <parameter name="useRegistersForReadBuffer" value="false" />
  <parameter name="useRegistersForWriteBuffer" value="false" />
  <parameter name="useRelativePathForSimFile" value="false" />
  <parameter name="writeBufferDepth" value="64" />
  <parameter name="writeIRQThreshold" value="8" />
  <parameter name="avalonSpec" value="2.0" />
 </module>
 <module kind="altera_pll" version="14.0" enabled="1" name="pll_sys">
  <parameter name="debug_print_output" value="false" />
  <parameter name="debug_use_rbc_taf_method" value="false" />
  <parameter name="device_family" value="Cyclone V" />
  <parameter name="device" value="5CSEMA6F31C6" />
  <parameter name="gui_device_speed_grade" value="2" />
  <parameter name="gui_pll_mode" value="Integer-N PLL" />
  <parameter name="gui_reference_clock_frequency" value="50.0" />
  <parameter name="gui_channel_spacing" value="0.0" />
  <parameter name="gui_operation_mode" value="normal" />
  <parameter name="gui_feedback_clock" value="Global Clock" />
  <parameter name="gui_fractional_cout" value="32" />
  <parameter name="gui_dsm_out_sel" value="1st_order" />
  <parameter name="gui_use_locked" value="true" />
  <parameter name="gui_en_adv_params" value="false" />
  <parameter name="gui_number_of_clocks" value="3" />
  <parameter name="gui_multiply_factor" value="1" />
  <parameter name="gui_frac_multiply_factor" value="1" />
  <parameter name="gui_divide_factor_n" value="1" />
  <parameter name="gui_cascade_counter0" value="false" />
  <parameter name="gui_output_clock_frequency0" value="100.0" />
  <parameter name="gui_divide_factor_c0" value="1" />
  <parameter name="gui_actual_output_clock_frequency0" value="0 MHz" />
  <parameter name="gui_ps_units0" value="ps" />
  <parameter name="gui_phase_shift0" value="0" />
  <parameter name="gui_phase_shift_deg0" value="0.0" />
  <parameter name="gui_actual_phase_shift0" value="0" />
  <parameter name="gui_duty_cycle0" value="50" />
  <parameter name="gui_cascade_counter1" value="false" />
  <parameter name="gui_output_clock_frequency1" value="40.0" />
  <parameter name="gui_divide_factor_c1" value="1" />
  <parameter name="gui_actual_output_clock_frequency1" value="0 MHz" />
  <parameter name="gui_ps_units1" value="ps" />
  <parameter name="gui_phase_shift1" value="0" />
  <parameter name="gui_phase_shift_deg1" value="0.0" />
  <parameter name="gui_actual_phase_shift1" value="0" />
  <parameter name="gui_duty_cycle1" value="50" />
  <parameter name="gui_cascade_counter2" value="false" />
  <parameter name="gui_output_clock_frequency2" value="200.0" />
  <parameter name="gui_divide_factor_c2" value="1" />
  <parameter name="gui_actual_output_clock_frequency2" value="0 MHz" />
  <parameter name="gui_ps_units2" value="ps" />
  <parameter name="gui_phase_shift2" value="0" />
  <parameter name="gui_phase_shift_deg2" value="0.0" />
  <parameter name="gui_actual_phase_shift2" value="0" />
  <parameter name="gui_duty_cycle2" value="50" />
  <parameter name="gui_cascade_counter3" value="false" />
  <parameter name="gui_output_clock_frequency3" value="120.0" />
  <parameter name="gui_divide_factor_c3" value="1" />
  <parameter name="gui_actual_output_clock_frequency3" value="0 MHz" />
  <parameter name="gui_ps_units3" value="ps" />
  <parameter name="gui_phase_shift3" value="0" />
  <parameter name="gui_phase_shift_deg3" value="0.0" />
  <parameter name="gui_actual_phase_shift3" value="0" />
  <parameter name="gui_duty_cycle3" value="50" />
  <parameter name="gui_cascade_counter4" value="false" />
  <parameter name="gui_output_clock_frequency4" value="100.0" />
  <parameter name="gui_divide_factor_c4" value="1" />
  <parameter name="gui_actual_output_clock_frequency4" value="0 MHz" />
  <parameter name="gui_ps_units4" value="ps" />
  <parameter name="gui_phase_shift4" value="0" />
  <parameter name="gui_phase_shift_deg4" value="0.0" />
  <parameter name="gui_actual_phase_shift4" value="0" />
  <parameter name="gui_duty_cycle4" value="50" />
  <parameter name="gui_cascade_counter5" value="false" />
  <parameter name="gui_output_clock_frequency5" value="100.0" />
  <parameter name="gui_divide_factor_c5" value="1" />
  <parameter name="gui_actual_output_clock_frequency5" value="0 MHz" />
  <parameter name="gui_ps_units5" value="ps" />
  <parameter name="gui_phase_shift5" value="0" />
  <parameter name="gui_phase_shift_deg5" value="0.0" />
  <parameter name="gui_actual_phase_shift5" value="0" />
  <parameter name="gui_duty_cycle5" value="50" />
  <parameter name="gui_cascade_counter6" value="false" />
  <parameter name="gui_output_clock_frequency6" value="100.0" />
  <parameter name="gui_divide_factor_c6" value="1" />
  <parameter name="gui_actual_output_clock_frequency6" value="0 MHz" />
  <parameter name="gui_ps_units6" value="ps" />
  <parameter name="gui_phase_shift6" value="0" />
  <parameter name="gui_phase_shift_deg6" value="0.0" />
  <parameter name="gui_actual_phase_shift6" value="0" />
  <parameter name="gui_duty_cycle6" value="50" />
  <parameter name="gui_cascade_counter7" value="false" />
  <parameter name="gui_output_clock_frequency7" value="100.0" />
  <parameter name="gui_divide_factor_c7" value="1" />
  <parameter name="gui_actual_output_clock_frequency7" value="0 MHz" />
  <parameter name="gui_ps_units7" value="ps" />
  <parameter name="gui_phase_shift7" value="0" />
  <parameter name="gui_phase_shift_deg7" value="0.0" />
  <parameter name="gui_actual_phase_shift7" value="0" />
  <parameter name="gui_duty_cycle7" value="50" />
  <parameter name="gui_cascade_counter8" value="false" />
  <parameter name="gui_output_clock_frequency8" value="100.0" />
  <parameter name="gui_divide_factor_c8" value="1" />
  <parameter name="gui_actual_output_clock_frequency8" value="0 MHz" />
  <parameter name="gui_ps_units8" value="ps" />
  <parameter name="gui_phase_shift8" value="0" />
  <parameter name="gui_phase_shift_deg8" value="0.0" />
  <parameter name="gui_actual_phase_shift8" value="0" />
  <parameter name="gui_duty_cycle8" value="50" />
  <parameter name="gui_cascade_counter9" value="false" />
  <parameter name="gui_output_clock_frequency9" value="100.0" />
  <parameter name="gui_divide_factor_c9" value="1" />
  <parameter name="gui_actual_output_clock_frequency9" value="0 MHz" />
  <parameter name="gui_ps_units9" value="ps" />
  <parameter name="gui_phase_shift9" value="0" />
  <parameter name="gui_phase_shift_deg9" value="0.0" />
  <parameter name="gui_actual_phase_shift9" value="0" />
  <parameter name="gui_duty_cycle9" value="50" />
  <parameter name="gui_cascade_counter10" value="false" />
  <parameter name="gui_output_clock_frequency10" value="100.0" />
  <parameter name="gui_divide_factor_c10" value="1" />
  <parameter name="gui_actual_output_clock_frequency10" value="0 MHz" />
  <parameter name="gui_ps_units10" value="ps" />
  <parameter name="gui_phase_shift10" value="0" />
  <parameter name="gui_phase_shift_deg10" value="0.0" />
  <parameter name="gui_actual_phase_shift10" value="0" />
  <parameter name="gui_duty_cycle10" value="50" />
  <parameter name="gui_cascade_counter11" value="false" />
  <parameter name="gui_output_clock_frequency11" value="100.0" />
  <parameter name="gui_divide_factor_c11" value="1" />
  <parameter name="gui_actual_output_clock_frequency11" value="0 MHz" />
  <parameter name="gui_ps_units11" value="ps" />
  <parameter name="gui_phase_shift11" value="0" />
  <parameter name="gui_phase_shift_deg11" value="0.0" />
  <parameter name="gui_actual_phase_shift11" value="0" />
  <parameter name="gui_duty_cycle11" value="50" />
  <parameter name="gui_cascade_counter12" value="false" />
  <parameter name="gui_output_clock_frequency12" value="100.0" />
  <parameter name="gui_divide_factor_c12" value="1" />
  <parameter name="gui_actual_output_clock_frequency12" value="0 MHz" />
  <parameter name="gui_ps_units12" value="ps" />
  <parameter name="gui_phase_shift12" value="0" />
  <parameter name="gui_phase_shift_deg12" value="0.0" />
  <parameter name="gui_actual_phase_shift12" value="0" />
  <parameter name="gui_duty_cycle12" value="50" />
  <parameter name="gui_cascade_counter13" value="false" />
  <parameter name="gui_output_clock_frequency13" value="100.0" />
  <parameter name="gui_divide_factor_c13" value="1" />
  <parameter name="gui_actual_output_clock_frequency13" value="0 MHz" />
  <parameter name="gui_ps_units13" value="ps" />
  <parameter name="gui_phase_shift13" value="0" />
  <parameter name="gui_phase_shift_deg13" value="0.0" />
  <parameter name="gui_actual_phase_shift13" value="0" />
  <parameter name="gui_duty_cycle13" value="50" />
  <parameter name="gui_cascade_counter14" value="false" />
  <parameter name="gui_output_clock_frequency14" value="100.0" />
  <parameter name="gui_divide_factor_c14" value="1" />
  <parameter name="gui_actual_output_clock_frequency14" value="0 MHz" />
  <parameter name="gui_ps_units14" value="ps" />
  <parameter name="gui_phase_shift14" value="0" />
  <parameter name="gui_phase_shift_deg14" value="0.0" />
  <parameter name="gui_actual_phase_shift14" value="0" />
  <parameter name="gui_duty_cycle14" value="50" />
  <parameter name="gui_cascade_counter15" value="false" />
  <parameter name="gui_output_clock_frequency15" value="100.0" />
  <parameter name="gui_divide_factor_c15" value="1" />
  <parameter name="gui_actual_output_clock_frequency15" value="0 MHz" />
  <parameter name="gui_ps_units15" value="ps" />
  <parameter name="gui_phase_shift15" value="0" />
  <parameter name="gui_phase_shift_deg15" value="0.0" />
  <parameter name="gui_actual_phase_shift15" value="0" />
  <parameter name="gui_duty_cycle15" value="50" />
  <parameter name="gui_cascade_counter16" value="false" />
  <parameter name="gui_output_clock_frequency16" value="100.0" />
  <parameter name="gui_divide_factor_c16" value="1" />
  <parameter name="gui_actual_output_clock_frequency16" value="0 MHz" />
  <parameter name="gui_ps_units16" value="ps" />
  <parameter name="gui_phase_shift16" value="0" />
  <parameter name="gui_phase_shift_deg16" value="0.0" />
  <parameter name="gui_actual_phase_shift16" value="0" />
  <parameter name="gui_duty_cycle16" value="50" />
  <parameter name="gui_cascade_counter17" value="false" />
  <parameter name="gui_output_clock_frequency17" value="100.0" />
  <parameter name="gui_divide_factor_c17" value="1" />
  <parameter name="gui_actual_output_clock_frequency17" value="0 MHz" />
  <parameter name="gui_ps_units17" value="ps" />
  <parameter name="gui_phase_shift17" value="0" />
  <parameter name="gui_phase_shift_deg17" value="0.0" />
  <parameter name="gui_actual_phase_shift17" value="0" />
  <parameter name="gui_duty_cycle17" value="50" />
  <parameter name="gui_pll_auto_reset" value="Off" />
  <parameter name="gui_pll_bandwidth_preset" value="Auto" />
  <parameter name="gui_en_reconf" value="false" />
  <parameter name="gui_en_dps_ports" value="false" />
  <parameter name="gui_en_phout_ports" value="false" />
  <parameter name="gui_phout_division" value="1" />
  <parameter name="gui_en_lvds_ports" value="false" />
  <parameter name="gui_mif_generate" value="false" />
  <parameter name="gui_enable_mif_dps" value="false" />
  <parameter name="gui_dps_cntr" value="C0" />
  <parameter name="gui_dps_num" value="1" />
  <parameter name="gui_dps_dir" value="Positive" />
  <parameter name="gui_refclk_switch" value="false" />
  <parameter name="gui_refclk1_frequency" value="100.0" />
  <parameter name="gui_switchover_mode">Automatic Switchover</parameter>
  <parameter name="gui_switchover_delay" value="0" />
  <parameter name="gui_active_clk" value="false" />
  <parameter name="gui_clk_bad" value="false" />
  <parameter name="gui_enable_cascade_out" value="false" />
  <parameter name="gui_cascade_outclk_index" value="0" />
  <parameter name="gui_enable_cascade_in" value="false" />
  <parameter name="gui_pll_cascading_mode">Create an adjpllin signal to connect with an upstream PLL</parameter>
  <parameter name="AUTO_REFCLK_CLOCK_RATE" value="50000000" />
 </module>
 <module kind="adc_ltc2308" version="1.1" enabled="1" name="adc_ltc2308">
  <parameter name="AUTO_CLOCK_SINK_CLOCK_RATE" value="100000000" />
  <parameter name="AUTO_CLOCK_SINK_ADC_CLOCK_RATE" value="40000000" />
 </module>
 <module kind="altera_avalon_pio" version="14.0" enabled="1" name="sw">
  <parameter name="bitClearingEdgeCapReg" value="false" />
  <parameter name="bitModifyingOutReg" value="false" />
  <parameter name="captureEdge" value="true" />
  <parameter name="direction" value="Input" />
  <parameter name="edgeType" value="ANY" />
  <parameter name="generateIRQ" value="true" />
  <parameter name="irqType" value="EDGE" />
  <parameter name="resetValue" value="0" />
  <parameter name="simDoTestBenchWiring" value="false" />
  <parameter name="simDrivenValue" value="0" />
  <parameter name="width" value="10" />
  <parameter name="clockRate" value="100000000" />
 </module>
 <connection
   kind="avalon"
   version="14.0"
   start="nios2_qsys.instruction_master"
   end="nios2_qsys.jtag_debug_module">
  <parameter name="arbitrationPriority" value="1" />
  <parameter name="baseAddress" value="0x00080800" />
  <parameter name="defaultConnection" value="false" />
 </connection>
 <connection
   kind="avalon"
   version="14.0"
   start="nios2_qsys.data_master"
   end="nios2_qsys.jtag_debug_module">
  <parameter name="arbitrationPriority" value="1" />
  <parameter name="baseAddress" value="0x00080800" />
  <parameter name="defaultConnection" value="false" />
 </connection>
 <connection
   kind="avalon"
   version="14.0"
   start="nios2_qsys.data_master"
   end="onchip_memory2.s1">
  <parameter name="arbitrationPriority" value="1" />
  <parameter name="baseAddress" value="0x00040000" />
  <parameter name="defaultConnection" value="false" />
 </connection>
 <connection
   kind="avalon"
   version="14.0"
   start="nios2_qsys.data_master"
   end="sysid_qsys.control_slave">
  <parameter name="arbitrationPriority" value="1" />
  <parameter name="baseAddress" value="0x00081008" />
  <parameter name="defaultConnection" value="false" />
 </connection>
 <connection
   kind="avalon"
   version="14.0"
   start="nios2_qsys.data_master"
   end="jtag_uart.avalon_jtag_slave">
  <parameter name="arbitrationPriority" value="1" />
  <parameter name="baseAddress" value="0x00081000" />
  <parameter name="defaultConnection" value="false" />
 </connection>
 <connection kind="clock" version="14.0" start="clk_50.clk" end="pll_sys.refclk" />
 <connection
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="jtag_uart.clk" />
 <connection
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="sysid_qsys.clk" />
 <connection
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="onchip_memory2.clk1" />
 <connection
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="nios2_qsys.clk" />
 <connection
   kind="avalon"
   version="14.0"
   start="nios2_qsys.instruction_master"
   end="onchip_memory2.s1">
  <parameter name="arbitrationPriority" value="1" />
  <parameter name="baseAddress" value="0x00040000" />
  <parameter name="defaultConnection" value="false" />
 </connection>
 <connection
   kind="reset"
   version="14.0"
   start="clk_50.clk_reset"
   end="nios2_qsys.reset_n" />
 <connection
   kind="reset"
   version="14.0"
   start="clk_50.clk_reset"
   end="onchip_memory2.reset1" />
 <connection
   kind="reset"
   version="14.0"
   start="clk_50.clk_reset"
   end="sysid_qsys.reset" />
 <connection
   kind="reset"
   version="14.0"
   start="clk_50.clk_reset"
   end="jtag_uart.reset" />
 <connection
   kind="reset"
   version="14.0"
   start="clk_50.clk_reset"
   end="pll_sys.reset" />
 <connection
   kind="interrupt"
   version="14.0"
   start="nios2_qsys.d_irq"
   end="jtag_uart.irq">
  <parameter name="irqNumber" value="0" />
 </connection>
 <connection
   kind="clock"
   version="14.0"
   start="pll_sys.outclk0"
   end="adc_ltc2308.clock_sink" />
 <connection
   kind="clock"
   version="14.0"
   start="pll_sys.outclk1"
   end="adc_ltc2308.clock_sink_adc" />
 <connection
   kind="reset"
   version="14.0"
   start="clk_50.clk_reset"
   end="adc_ltc2308.reset_sink" />
 <connection
   kind="avalon"
   version="14.0"
   start="nios2_qsys.data_master"
   end="adc_ltc2308.slave">
  <parameter name="arbitrationPriority" value="1" />
  <parameter name="baseAddress" value="0x00081010" />
  <parameter name="defaultConnection" value="false" />
 </connection>
 <connection kind="clock" version="14.0" start="pll_sys.outclk0" end="sw.clk" />
 <connection kind="reset" version="14.0" start="clk_50.clk_reset" end="sw.reset" />
 <connection
   kind="avalon"
   version="14.0"
   start="nios2_qsys.data_master"
   end="sw.s1">
  <parameter name="arbitrationPriority" value="1" />
  <parameter name="baseAddress" value="0x0000" />
  <parameter name="defaultConnection" value="false" />
 </connection>
 <connection kind="interrupt" version="14.0" start="nios2_qsys.d_irq" end="sw.irq">
  <parameter name="irqNumber" value="1" />
 </connection>
 <connection
   kind="reset"
   version="14.0"
   start="nios2_qsys.jtag_debug_module_reset"
   end="nios2_qsys.reset_n" />
 <interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
 <interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="1" />
</system>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.