OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [8b10b/] [video_frame/] [vf_8b10b_config.svh] - Rev 47

Compare with Previous | Blame | View Log

//////////////////////////////////////////////////////////////////////
////                                                              ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
//////////////////////////////////////////////////////////////////////

class vf_8b10b_config;

  virtual deserializer_8b10b_bfm_if vif;
  protected uvm_active_passive_enum is_active; // UVM_ACTIVE or UVM_PASSIVE
  int pixels_per_line;
  int lines_per_frame;
  int bits_per_pixel;
  int bytes_per_pixel;
  string name;
  int channel_id;
  logic [8:0] sof_8b  = 9'b1_000_11100; // Start of Frame (SOF): K28.0
  logic [8:0] eol_8b  = 9'b1_010_11100; // End of Line (EOL): K28.2
  logic [8:0] eof_8b  = 9'b1_011_11100; // End of Frame (EOF): K28.3
  logic [8:0] idle_8b = 9'b1_101_11100; // All IDLEs or NULLS: K28.5

  // --------------------------------------------------------------------
  function void init
  ( int pixels_per_line
  , int lines_per_frame
  , int bits_per_pixel
  , int channel_id = 0
  , string    name = ""
  );
    this.pixels_per_line  = pixels_per_line;
    this.lines_per_frame  = lines_per_frame;
    this.bits_per_pixel   = bits_per_pixel;
    this.channel_id       = channel_id;
    this.name             = name;
    this.bytes_per_pixel  = (bits_per_pixel % 8 == 0)
                          ? (bits_per_pixel / 8)
                          : (bits_per_pixel / 8) + 1;
  endfunction: init

  // --------------------------------------------------------------------
  function new
  (  virtual deserializer_8b10b_bfm_if vif
  ,  uvm_active_passive_enum is_active = UVM_PASSIVE
  );
    this.vif = vif;
    this.is_active = is_active;
  endfunction : new

  // --------------------------------------------------------------------
  function uvm_active_passive_enum get_is_active();
    return is_active;
  endfunction : get_is_active

// --------------------------------------------------------------------
endclass : vf_8b10b_config

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.