OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [8b10b/] [video_frame/] [vf_8b10b_monitor.svh] - Rev 46

Compare with Previous | Blame | View Log

//////////////////////////////////////////////////////////////////////
////                                                              ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
//////////////////////////////////////////////////////////////////////

class vf_8b10b_monitor extends uvm_component;
  `uvm_component_utils(vf_8b10b_monitor);

  virtual deserializer_8b10b_bfm_if vif;
  vf_8b10b_config cfg_h;
  uvm_analysis_port #(vf_8b10b_sequence_item) ap;

  // --------------------------------------------------------------------
  function new (string name, uvm_component parent);
    super.new(name,parent);
  endfunction

  // --------------------------------------------------------------------
  function void build_phase(uvm_phase phase);
    ap = new("ap", this);
  endfunction : build_phase

  // --------------------------------------------------------------------
  task run_phase(uvm_phase phase);
    bit got_new_frame = 0;
    int l = 0;
    int p = 0;
    vf_8b10b_sequence_item item;
    video_frame_class f_h;
    f_h = new();
    f_h.init( cfg_h.pixels_per_line
                    , cfg_h.lines_per_frame
                    , cfg_h.bits_per_pixel
                    );

    forever @(vif.cb iff vif.cb.dataout_valid)
    begin
      if(vif.dataout == cfg_h.sof_8b)
      begin
        item = vf_8b10b_sequence_item::type_id::create("item");
        item.f_h = f_h.clone;
        item.sof_timestamp = $time;
        got_new_frame = 1;
      end

      if(~vif.dataout[8] & got_new_frame)
      begin
        item.f_h.lines[l].pixel[p] = vif.dataout[7:0];
        p++;
      end

      if(vif.dataout == cfg_h.eol_8b)
      begin
        l++;
        p = 0;
      end

      if(vif.dataout == cfg_h.eof_8b)
      begin
        got_new_frame = 0;
        l = 0;
        p = 0;
        ap.write(item);
      end
    end
  endtask : run_phase

// --------------------------------------------------------------------
endclass : vf_8b10b_monitor

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.