OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [axis_video_frame/] [avf_master_agent.svh] - Rev 45

Go to most recent revision | Compare with Previous | Blame | View Log

//////////////////////////////////////////////////////////////////////
////                                                              ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
//////////////////////////////////////////////////////////////////////

class avf_master_agent #(N, U)
  extends uvm_agent;
   `uvm_component_param_utils(avf_master_agent #(N, U))

  // --------------------------------------------------------------------
  avf_config #(N, U) cfg_h;
  avf_master_sequencer sequencer_h;
  avf_master_driver #(N, U) driver_h;
  avf_monitor #(N, U) monitor_h;

  // --------------------------------------------------------------------
  virtual function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    if(is_active == UVM_ACTIVE)
    begin
      driver_h = avf_master_driver #(N, U)::type_id::create("driver_h", this);
      sequencer_h = avf_master_sequencer::type_id::create("sequencer_h", this);
    end
    monitor_h = avf_monitor #(N, U)::type_id::create("monitor_h", this);
  endfunction

  // --------------------------------------------------------------------
  virtual function void connect_phase(uvm_phase phase);
    super.connect_phase(phase);
    if(is_active == UVM_ACTIVE)
    begin
      driver_h.vif = cfg_h.vif;
      driver_h.seq_item_port.connect(sequencer_h.seq_item_export);
    end
    monitor_h.vif = cfg_h.vif;
    monitor_h.cfg_h = cfg_h;
  endfunction

  // --------------------------------------------------------------------
   function new(string name, uvm_component parent);
      super.new(name, parent);
   endfunction

// --------------------------------------------------------------------
endclass : avf_master_agent

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.