OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [sim/] [tests/] [tb_riffa_register_file/] [vs_debug.svh] - Rev 50

Compare with Previous | Blame | View Log

// --------------------------------------------------------------------
class vs_debug extends vs_top_base;
  `uvm_object_utils(vs_debug)

  // --------------------------------------------------------------------
  function new(string name = "vs_debug");
    super.new(name);
  endfunction

  // --------------------------------------------------------------------
  task body();
    int size = B * (N / 4);
    tx_api_h.init(tx_h, this, N);
    rx_api_h.init(rx_h, this, N);

    tx_api_h.counting(N , size, 0);
    // rx_api_h.display(N , size, 0);
    rx_api_h.get(N , size, 0);
  endtask: body

// --------------------------------------------------------------------
endclass

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.