OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [src/] [RIFFA/] [riffa_axis_test_pattern.sv] - Rev 34

Go to most recent revision | Compare with Previous | Blame | View Log

//////////////////////////////////////////////////////////////////////
////                                                              ////
//// Copyright (C) 2017 Authors and OPENCORES.ORG                 ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
//////////////////////////////////////////////////////////////////////


module
  riffa_axis_test_pattern
  #(
    N, // RIFFA data bus width in bytes
    W = 4, // word width in bytes
    WPB = N / W // number of words per beat
  )
  (
    riffa_chnl_if chnl_in,
    input [31:0] tx_len,
    input clk,
    input reset
  );

  // --------------------------------------------------------------------
  //
  localparam I = 0; // TID width
  localparam D = 0; // TDEST width
  localparam U = 3; // TUSER width
  localparam RW = (N/4); // width of the RIFFA bus in 32 bit words


  // --------------------------------------------------------------------
  //
  wire aclk = clk;
  wire aresetn = ~reset;


  // --------------------------------------------------------------------
  //
  axis_if #(.N(N), .I(I), .D(D), .U(U)) axis_out(.*);

  axis_test_patern #(.N(N), .W(W), .WPB(WPB))
    axis_test_patern_i(.*);


  // --------------------------------------------------------------------
  //
  wire tx_ready = 1;
  wire tx_last = 1;
  wire acked;
  wire [30:0] tx_off = 0;
  wire [30:0] tx_index;
  wire tx_done = (tx_index >= tx_len - RW);

  riffa_chn_tx #(.N(N))
    riffa_chn_tx_i(.*);


  // --------------------------------------------------------------------
  //
  assign axis_out.tready = chnl_in.tx_data_ren & acked;


  // --------------------------------------------------------------------
  //
  assign chnl_in.rx_clk = clk;
  assign chnl_in.tx_clk = clk;
  assign chnl_in.rx_reset = reset;
  assign chnl_in.tx_reset = reset;
  assign chnl_in.tx_last = tx_last;
  assign chnl_in.tx_len = tx_len;
  assign chnl_in.tx_off = tx_off;
  assign chnl_in.tx_data_valid = axis_out.tvalid & acked;
  assign chnl_in.tx_data = axis_out.tdata;

// --------------------------------------------------------------------
//
endmodule




Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.