OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_lib/] [sim/] [tests/] [tb_axi4_to_axis_basic_dma/] [the_test.sv] - Rev 31

Compare with Previous | Blame | View Log

// --------------------------------------------------------------------
//
// --------------------------------------------------------------------

`timescale 1ps/1ps


module
  the_test(
            input tb_clk,
            input tb_rst
          );

  // --------------------------------------------------------------------
  //
  import tb_axi4_to_axis_basic_dma_pkg::*;


  // --------------------------------------------------------------------
  //
  task run_the_test;

    // --------------------------------------------------------------------
    // insert test below
    // --------------------------------------------------------------------
    $display("^^^---------------------------------");
    $display("^^^ %16.t | Testbench begun.", $time);
    $display("^^^---------------------------------");

    // --------------------------------------------------------------------
    tb_top.tb.timeout_stop(50us);
    // tb_top.a_h.m_h.counting_fill(0,'h800);

    // --------------------------------------------------------------------
    wait(tb_top.aresetn);
    #200ns;

    // --------------------------------------------------------------------
    tb_top.a_h.random_transaction(BASE_ADDRESS, BUFFER_SIZE);
    force tb_top.dma_enable = 1;
    repeat(2) tb_top.a_h.wait_for_sof();
    tb_top.a_h.compare();

    // --------------------------------------------------------------------
    release tb_top.dma_enable;
    force tb_top.tb_rst = 1;
    #200ns;
    release tb_top.tb_rst;
    #200ns;

    // --------------------------------------------------------------------
    tb_top.a_h.random_transaction(BASE_ADDRESS, BUFFER_SIZE);
    force tb_top.dma_enable = 1;
    repeat(2) tb_top.a_h.wait_for_sof();
    tb_top.a_h.compare();

    // --------------------------------------------------------------------
    #200ns;


    // --------------------------------------------------------------------
    // insert test above
    // --------------------------------------------------------------------

  endtask


endmodule

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.