OpenCores
URL https://opencores.org/ocsvn/rs_encoder_decoder/rs_encoder_decoder/trunk

Subversion Repositories rs_encoder_decoder

[/] [rs_encoder_decoder/] [rtl/] [GF8Mult.v] - Rev 2

Compare with Previous | Blame | View Log

// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult0(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[0];
  assign mult_o[1] = mult_i[1];
  assign mult_o[2] = mult_i[2];
  assign mult_o[3] = mult_i[3];
  assign mult_o[4] = mult_i[4];
  assign mult_o[5] = mult_i[5];
  assign mult_o[6] = mult_i[6];
  assign mult_o[7] = mult_i[7];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult1(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7];
  assign mult_o[1] = mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[3];
  assign mult_o[5] = mult_i[4];
  assign mult_o[6] = mult_i[5];
  assign mult_o[7] = mult_i[6];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult2(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6];
  assign mult_o[1] = mult_i[7];
  assign mult_o[2] = mult_i[6]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[3];
  assign mult_o[6] = mult_i[4];
  assign mult_o[7] = mult_i[5];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult3(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5];
  assign mult_o[1] = mult_i[6];
  assign mult_o[2] = mult_i[7]^mult_i[5];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[3];
  assign mult_o[7] = mult_i[4];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult4(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4];
  assign mult_o[1] = mult_i[5];
  assign mult_o[2] = mult_i[6]^mult_i[4];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult5(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[3];
  assign mult_o[1] = mult_i[4];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[3];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult6(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[3];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult7(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[2];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult8(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult9(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[4]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult10(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult11(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[3];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult12(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[2];
  assign mult_o[5] = mult_i[6]^mult_i[3];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult13(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[2];
  assign mult_o[6] = mult_i[6]^mult_i[3];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult14(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[2];
  assign mult_o[7] = mult_i[6]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult15(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[3];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult16(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[2];
  assign mult_o[1] = mult_i[6]^mult_i[3];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult17(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult18(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult19(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult20(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult21(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[0];
  assign mult_o[5] = mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult22(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6];
  assign mult_o[5] = mult_i[7]^mult_i[0];
  assign mult_o[6] = mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult23(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5];
  assign mult_o[5] = mult_i[7]^mult_i[6];
  assign mult_o[6] = mult_i[7]^mult_i[0];
  assign mult_o[7] = mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult24(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[4];
  assign mult_o[5] = mult_i[6]^mult_i[5];
  assign mult_o[6] = mult_i[7]^mult_i[6];
  assign mult_o[7] = mult_i[7]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult25(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[0];
  assign mult_o[1] = mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[3];
  assign mult_o[5] = mult_i[5]^mult_i[4];
  assign mult_o[6] = mult_i[6]^mult_i[5];
  assign mult_o[7] = mult_i[7]^mult_i[6];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult26(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6];
  assign mult_o[1] = mult_i[7]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[3];
  assign mult_o[6] = mult_i[5]^mult_i[4];
  assign mult_o[7] = mult_i[6]^mult_i[5];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult27(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5];
  assign mult_o[1] = mult_i[7]^mult_i[6];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[3];
  assign mult_o[7] = mult_i[5]^mult_i[4];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult28(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4];
  assign mult_o[1] = mult_i[6]^mult_i[5];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult29(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[3];
  assign mult_o[1] = mult_i[5]^mult_i[4];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[4] = mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult30(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[3];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[4] = mult_i[5]^mult_i[2];
  assign mult_o[5] = mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult31(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[1];
  assign mult_o[5] = mult_i[5]^mult_i[2];
  assign mult_o[6] = mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult32(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[1];
  assign mult_o[6] = mult_i[5]^mult_i[2];
  assign mult_o[7] = mult_i[6]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult33(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[1];
  assign mult_o[7] = mult_i[5]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult34(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[2];
  assign mult_o[1] = mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult35(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[1];
  assign mult_o[1] = mult_i[5]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult36(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult37(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult38(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[2];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult39(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult40(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult41(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[3];
  assign mult_o[4] = mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult42(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[2];
  assign mult_o[4] = mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult43(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult44(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[2];
  assign mult_o[5] = mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult45(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[1];
  assign mult_o[5] = mult_i[2];
  assign mult_o[6] = mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[4]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult46(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[1];
  assign mult_o[6] = mult_i[2];
  assign mult_o[7] = mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult47(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[5];
  assign mult_o[5] = mult_i[6]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[1];
  assign mult_o[7] = mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult48(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[2];
  assign mult_o[1] = mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[4];
  assign mult_o[5] = mult_i[7]^mult_i[5];
  assign mult_o[6] = mult_i[6]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult49(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[1];
  assign mult_o[1] = mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[3];
  assign mult_o[5] = mult_i[6]^mult_i[4];
  assign mult_o[6] = mult_i[7]^mult_i[5];
  assign mult_o[7] = mult_i[6]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult50(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[3];
  assign mult_o[6] = mult_i[6]^mult_i[4];
  assign mult_o[7] = mult_i[7]^mult_i[5];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult51(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5];
  assign mult_o[1] = mult_i[6]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[3];
  assign mult_o[7] = mult_i[6]^mult_i[4];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult52(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4];
  assign mult_o[1] = mult_i[7]^mult_i[5];
  assign mult_o[2] = mult_i[4]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult53(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[3];
  assign mult_o[1] = mult_i[6]^mult_i[4];
  assign mult_o[2] = mult_i[3];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult54(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[3];
  assign mult_o[2] = mult_i[7]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult55(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult56(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult57(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult58(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult59(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult60(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult61(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult62(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult63(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult64(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult65(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult66(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult67(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult68(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult69(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult70(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[4]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult71(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult72(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult73(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult74(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult75(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult76(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult77(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[2] = mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult78(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[2] = mult_i[7]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult79(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult80(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult81(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult82(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult83(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult84(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult85(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult86(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult87(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult88(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult89(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[4]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult90(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult91(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[2];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[4] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult92(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[4] = mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult93(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[3];
  assign mult_o[4] = mult_i[7]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult94(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[5]^mult_i[3];
  assign mult_o[3] = mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult95(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[2];
  assign mult_o[3] = mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[5]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult96(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[4]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult97(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[0];
  assign mult_o[4] = mult_i[3];
  assign mult_o[5] = mult_i[4]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult98(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5];
  assign mult_o[4] = mult_i[7]^mult_i[2];
  assign mult_o[5] = mult_i[3];
  assign mult_o[6] = mult_i[4]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult99(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[2];
  assign mult_o[6] = mult_i[3];
  assign mult_o[7] = mult_i[4]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult100(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[2];
  assign mult_o[7] = mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult101(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[3];
  assign mult_o[1] = mult_i[4]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult102(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[2];
  assign mult_o[1] = mult_i[3];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult103(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult104(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult105(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult106(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult107(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult108(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult109(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult110(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult111(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult112(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult113(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult114(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult115(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult116(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult117(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult118(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult119(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult120(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult121(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[1];
  assign mult_o[4] = mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult122(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult123(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4];
  assign mult_o[4] = mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult124(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[3];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[4]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult125(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult126(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult127(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult128(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult129(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult130(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult131(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult132(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult133(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[4]^mult_i[1];
  assign mult_o[5] = mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult134(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[4]^mult_i[1];
  assign mult_o[6] = mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult135(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[4]^mult_i[1];
  assign mult_o[7] = mult_i[5]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult136(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[4]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult137(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[1];
  assign mult_o[1] = mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult138(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[4]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4];
  assign mult_o[4] = mult_i[5]^mult_i[3];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult139(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[2];
  assign mult_o[5] = mult_i[5]^mult_i[3];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult140(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[2];
  assign mult_o[2] = mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[4] = mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[2];
  assign mult_o[6] = mult_i[5]^mult_i[3];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult141(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[1];
  assign mult_o[2] = mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[2];
  assign mult_o[7] = mult_i[5]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult142(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[3];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult143(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[2];
  assign mult_o[1] = mult_i[5]^mult_i[3];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult144(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[2];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult145(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult146(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[4]^mult_i[3];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult147(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[2] = mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult148(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult149(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult150(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult151(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5];
  assign mult_o[3] = mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult152(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[3] = mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult153(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[3] = mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult154(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult155(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult156(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult157(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult158(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[4];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult159(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[3];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult160(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult161(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult162(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult163(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult164(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult165(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult166(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult167(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult168(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult169(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[3];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult170(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult171(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[4]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult172(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult173(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult174(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult175(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult176(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[4];
  assign mult_o[3] = mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult177(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[3];
  assign mult_o[3] = mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult178(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[3] = mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult179(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult180(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult181(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[6]^mult_i[5];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult182(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult183(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[3];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult184(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult185(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult186(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult187(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult188(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[2];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[4]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult189(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[1];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult190(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[4]^mult_i[3];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult191(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[4] = mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[4]^mult_i[3];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult192(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[4] = mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[4]^mult_i[3];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult193(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[4]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult194(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[3];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult195(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[4]^mult_i[3];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult196(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult197(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult198(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult199(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult200(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult201(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[2] = mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[3] = mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult202(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[2] = mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[2];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult203(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult204(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult205(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult206(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult207(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[3];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult208(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[7];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult209(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[6];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult210(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[5];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult211(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[4];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult212(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[3];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult213(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult214(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult215(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[4]^mult_i[2];
  assign mult_o[5] = mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult216(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[4]^mult_i[2];
  assign mult_o[6] = mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult217(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[4]^mult_i[2];
  assign mult_o[7] = mult_i[5]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult218(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[4]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult219(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[2];
  assign mult_o[1] = mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[5]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult220(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[4]^mult_i[2];
  assign mult_o[2] = mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[4];
  assign mult_o[5] = mult_i[5]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult221(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[3];
  assign mult_o[5] = mult_i[7]^mult_i[4];
  assign mult_o[6] = mult_i[5]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult222(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[3];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[3];
  assign mult_o[6] = mult_i[7]^mult_i[4];
  assign mult_o[7] = mult_i[5]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult223(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[2];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[3];
  assign mult_o[7] = mult_i[7]^mult_i[4];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult224(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[4];
  assign mult_o[1] = mult_i[5]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[1];
  assign mult_o[3] = mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult225(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[3];
  assign mult_o[1] = mult_i[7]^mult_i[4];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult226(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[3];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[3] = mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult227(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult228(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[2] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult229(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[2] = mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult230(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4];
  assign mult_o[4] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult231(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3];
  assign mult_o[4] = mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult232(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2];
  assign mult_o[4] = mult_i[7]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult233(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult234(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult235(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[4];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[4];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult236(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[3];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[4];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult237(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[4];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult238(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[4];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult239(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[4];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult240(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[4];
  assign mult_o[2] = mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult241(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3];
  assign mult_o[2] = mult_i[5]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult242(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult243(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult244(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[5] = mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult245(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[4]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[5] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[6] = mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult246(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[3]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[4]^mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[5] = mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[6] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[7] = mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult247(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[7]^mult_i[6]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[7]^mult_i[6]^mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[3] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1];
  assign mult_o[4] = mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[5] = mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[6] = mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[7] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult248(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[1] = mult_i[6]^mult_i[5]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[6]^mult_i[5]^mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[6]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[5] = mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[6] = mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[7] = mult_i[6]^mult_i[4]^mult_i[3];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult249(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[1] = mult_i[7]^mult_i[5]^mult_i[4]^mult_i[0];
  assign mult_o[2] = mult_i[5]^mult_i[4]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[4] = mult_i[2]^mult_i[0];
  assign mult_o[5] = mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[6] = mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[7] = mult_i[5]^mult_i[3]^mult_i[2];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult250(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[1] = mult_i[6]^mult_i[4]^mult_i[3];
  assign mult_o[2] = mult_i[7]^mult_i[4]^mult_i[3]^mult_i[2]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[1];
  assign mult_o[5] = mult_i[2]^mult_i[0];
  assign mult_o[6] = mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[7] = mult_i[4]^mult_i[2]^mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult251(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[1] = mult_i[5]^mult_i[3]^mult_i[2];
  assign mult_o[2] = mult_i[6]^mult_i[3]^mult_i[2]^mult_i[1];
  assign mult_o[3] = mult_i[7]^mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[4] = mult_i[0];
  assign mult_o[5] = mult_i[1];
  assign mult_o[6] = mult_i[2]^mult_i[0];
  assign mult_o[7] = mult_i[3]^mult_i[1]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult252(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[1] = mult_i[4]^mult_i[2]^mult_i[1];
  assign mult_o[2] = mult_i[5]^mult_i[2]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[6]^mult_i[2]^mult_i[0];
  assign mult_o[4] = mult_i[7];
  assign mult_o[5] = mult_i[0];
  assign mult_o[6] = mult_i[1];
  assign mult_o[7] = mult_i[2]^mult_i[0];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult253(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[2]^mult_i[0];
  assign mult_o[1] = mult_i[3]^mult_i[1]^mult_i[0];
  assign mult_o[2] = mult_i[4]^mult_i[1]^mult_i[0];
  assign mult_o[3] = mult_i[5]^mult_i[1];
  assign mult_o[4] = mult_i[6];
  assign mult_o[5] = mult_i[7];
  assign mult_o[6] = mult_i[0];
  assign mult_o[7] = mult_i[1];
 
 
endmodule
 
// This is a verilog File Generated
// By The C++ program That Generates
// An Gallios Field Hardware Multiplier
 
module GF8Mult254(mult_i, mult_o);
  // Inputs are declared here
  input [7:0] mult_i;
  output [7:0] mult_o;
 
  // Declaration of Wires And Register are here 
 
  // Combinational Logic Body 
  assign mult_o[0] = mult_i[1];
  assign mult_o[1] = mult_i[2]^mult_i[0];
  assign mult_o[2] = mult_i[3]^mult_i[0];
  assign mult_o[3] = mult_i[4]^mult_i[0];
  assign mult_o[4] = mult_i[5];
  assign mult_o[5] = mult_i[6];
  assign mult_o[6] = mult_i[7];
  assign mult_o[7] = mult_i[0];
 
 
endmodule
 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.