OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] [hdl/] [filelist.vcs] - Rev 113

Compare with Previous | Blame | View Log

-v $S1_ROOT/hdl/behav/sparc_libs/m1_lib.v
-v $S1_ROOT/hdl/behav/sparc_libs/u1_lib.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_dcl.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_stb_ctl.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_tlu_zcmp64.v
-v $S1_ROOT/hdl/rtl/sparc_core/bw_r_scm.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_ecc_dec.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_mul_cntl.v
-v $S1_ROOT/hdl/rtl/sparc_core/tlu_addern_32.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ffu_ctl.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_thrcmpl.v
-v $S1_ROOT/hdl/rtl/sparc_core/tlu_mmu_ctl.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_ecl_wb.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_fdp.v
-v $S1_ROOT/hdl/rtl/sparc_core/bw_r_rf32x152b.v
-v $S1_ROOT/hdl/rtl/sparc_core/bw_r_irf_register.v
-v $S1_ROOT/hdl/rtl/sparc_core/bw_r_rf32x80.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_rndrob.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_rml_cwp.v
-v $S1_ROOT/hdl/rtl/sparc_core/tlu_pib.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_lfsr5.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_qctl1.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_aluadder64.v
-v $S1_ROOT/hdl/rtl/sparc_core/tlu_mmu_dp.v
-v $S1_ROOT/hdl/rtl/sparc_core/cmp_sram_redhdr.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_swl.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_swpla.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_aluspr.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_tlu_intdp.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_incr46.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ffu.v
-v $S1_ROOT/hdl/rtl/sparc_core/tlu_prencoder16.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu.v
-v $S1_ROOT/hdl/rtl/sparc_core/bw_r_icd.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ffu_part_add32.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ffu_vis.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_stb_rwdp.v
-v $S1_ROOT/hdl/rtl/sparc_core/bw_clk_cl_sparc_cmp.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_qctl2.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_imd.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_cmp35.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_eclccr.v
-v $S1_ROOT/hdl/rtl/sparc_core/tlu_hyperv.v
-v $S1_ROOT/hdl/rtl/sparc_core/tlu_misctl.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_rrobin_picker2.v
-v $S1_ROOT/hdl/rtl/sparc_core/swrvr_dlib.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_thrfsm.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_rml_inc3.v
-v $S1_ROOT/hdl/rtl/sparc_core/tlu_tdp.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_dcache_lfsr.v
-v $S1_ROOT/hdl/rtl/sparc_core/bw_r_rf16x160.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_fcl.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_ctr5.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_eclcomp7.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_dc_parity_gen.v
-v $S1_ROOT/hdl/rtl/sparc_core/bw_r_irf.v
-v $S1_ROOT/hdl/rtl/sparc_core/tlu_tcl.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_milfsm.v
-v $S1_ROOT/hdl/rtl/sparc_core/cpx_spc_buf.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_ecl_divcntl.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_tlu_dec64.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_div.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_par34.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_alu_16eql.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_dctl.v
-v $S1_ROOT/hdl/rtl/sparc_core/test_stub_scan.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_ecl_cnt6.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_stb_ctldp.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_mul_top.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_lru4.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_par32.v
-v $S1_ROOT/hdl/rtl/sparc_core/cpx_spc_rpt.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_mbist.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_asi_decode.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_ecc.v
-v $S1_ROOT/hdl/rtl/sparc_core/tlu.v
-v $S1_ROOT/hdl/rtl/sparc_core/bw_r_tlb.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_stb_rwctl.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_rml.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_reg.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_aluaddsub.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_byp_eccgen.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_dcdp.v
-v $S1_ROOT/hdl/rtl/sparc_core/tlu_incr64.v
-v $S1_ROOT/hdl/rtl/sparc_core/mul64.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_aluor32.v
-v $S1_ROOT/hdl/rtl/sparc_core/cluster_header.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_errctl.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_qdp1.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_alu.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_tagdp.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_rndrob.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu.v
-v $S1_ROOT/hdl/rtl/sparc_core/swrvr_clib.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_ecl_mdqctl.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_tlu_intctl.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_qdp2.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_ecl_eccctl.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_div_yreg.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_alulogic.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_tlu_penc64.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ffu_ctl_visctl.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_eclbyplog_rs1.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_div_32eql.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_par16.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_eclbyplog.v
-v $S1_ROOT/hdl/rtl/sparc_core/bw_r_idct.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_pcx_qmon.v
-v $S1_ROOT/hdl/rtl/sparc_core/bw_r_dcd.v
-v $S1_ROOT/hdl/rtl/sparc_core/test_stub_bist.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_byp.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_shft.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_sscan.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_dec.v
-v $S1_ROOT/hdl/rtl/sparc_core/bw_r_rf16x32.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_wseldp.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_excpctl.v
-v $S1_ROOT/hdl/rtl/sparc_core/bw_r_frf.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_invctl.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ffu_dp.v
-v $S1_ROOT/hdl/rtl/sparc_core/synchronizer_asr.v
-v $S1_ROOT/hdl/rtl/sparc_core/tlu_rrobin_picker.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_aluzcmp64.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_ifqdp.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_dctldp.v
-v $S1_ROOT/hdl/rtl/sparc_core/lsu_tlbdp.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_mul_dp.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_exu_ecl.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_errdp.v
-v $S1_ROOT/hdl/rtl/sparc_core/sparc_ifu_ifqctl.v
$S1_ROOT/hdl/rtl/s1_top/rst_ctrl.v
$S1_ROOT/hdl/rtl/s1_top/int_ctrl.v
$S1_ROOT/hdl/rtl/s1_top/spc2wbm.v
$S1_ROOT/hdl/rtl/s1_top/s1_top.v
$S1_ROOT/hdl/behav/testbench/mem_harness.v
$S1_ROOT/hdl/behav/testbench/testbench.v
+incdir+$S1_ROOT/hdl/rtl/s1_top

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.