OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [CMake/] [FindAsciidoctor.cmake] - Rev 2

Compare with Previous | Blame | View Log

include(FindPackageHandleStandardArgs)

find_program(ASCIIDOCTOR_EXECUTABLE NAMES asciidoctor-pdf
             PATHS /usr/bin /usr/local/bin)

find_package_handle_standard_args(Asciidoctor FOUND_VAR ASCIIDOCTOR_FOUND
                                  REQUIRED_VARS ASCIIDOCTOR_EXECUTABLE)

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.