OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [rtl/] [microcode/] [les.us] - Rev 2

Compare with Previous | Blame | View Log

// Copyright Jamie Iles, 2017
//
// This file is part of s80x86.
//
// s80x86 is free software: you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation, either version 3 of the License, or
// (at your option) any later version.
//
// s80x86 is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with s80x86.  If not, see <http://www.gnu.org/licenses/>.

.at 0xc4;
    modrm_start, mar_write, mar_wr_sel EA, segment DS,
        jmp_rm_reg_mem les_reg, segment DS;
.auto_address;
les_reg:
    next_instruction;
les_mem:
    segment DS, mem_read;
    rd_sel_source MODRM_REG, a_sel MDR, alu_op SELA,
        segment DS;
    a_sel MAR, alu_op ADD, b_sel IMMEDIATE, immediate 0x2,
        mar_write, mar_wr_sel Q, segment DS;
    segment DS, mem_read;
    segment_force, segment ES, segment_wr_en, a_sel MDR, alu_op SELA,
        next_instruction;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.