OpenCores
URL https://opencores.org/ocsvn/sata_controller_core/sata_controller_core/trunk

Subversion Repositories sata_controller_core

[/] [sata_controller_core/] [trunk/] [sata2_bus_v1_00_a/] [base_system/] [ChipScope/] [project.cpj] - Rev 11

Compare with Previous | Blame | View Log

#ChipScope Pro Analyzer Project File, Version 3.0
#Mon May 28 12:57:22 EDT 2012
device.1.configFileDir=/home/aamendon/temp/sata_core/base_DDR/implementation
device.1.configFilename=download.bit
device.1.inserterCDCFileDir=/home/aamendon/temp/sata_core/base_DDR/implementation
device.1.inserterCDCFilename=
device.1.partialConfig=0
deviceChain.deviceName0=System_ACE_CF
deviceChain.deviceName1=XC6VLX240T
deviceChain.iRLength0=8
deviceChain.iRLength1=10
deviceChain.name0=MyDevice0
deviceChain.name1=MyDevice1
deviceIds=0a00109344250093
import.certifyIdx=-1
import.dir=/home/aamendon/temp/sata_core/base_DDR/pcores/sata_core_v1_00_a/coregen/
import.filename=SATA_TX_FRAME_ILA_I.cdc
import.unitDeviceIdx=3
mdiAreaHeight=0.7704918032786885
mdiAreaHeightLast=0.6987704918032787
mdiCount=7
mdiDevice0=1
mdiDevice1=1
mdiDevice2=1
mdiDevice3=1
mdiDevice4=1
mdiDevice5=1
mdiDevice6=1
mdiType0=1
mdiType1=1
mdiType2=1
mdiType3=1
mdiType4=1
mdiType5=1
mdiType6=1
mdiUnit0=3
mdiUnit1=2
mdiUnit2=4
mdiUnit3=5
mdiUnit4=6
mdiUnit5=7
mdiUnit6=8
navigatorHeight=0.33094262295081966
navigatorHeightLast=0.33094262295081966
navigatorWidth=0.14921875
navigatorWidthLast=0.175
unit.1.-1.coretype=SYSTEM MONITOR
unit.1.-1.port.-1.buscount=0
unit.1.-1.port.-1.channelcount=0
unit.1.-1.portcount=0
unit.1.-1.username=
unit.1.0.0.HEIGHT0=0.3382353
unit.1.0.0.TriggerRow0=1
unit.1.0.0.TriggerRow1=1
unit.1.0.0.TriggerRow2=1
unit.1.0.0.WIDTH0=0.9653233
unit.1.0.0.X0=0.0
unit.1.0.0.Y0=0.0
unit.1.0.1.HEIGHT1=0.61470586
unit.1.0.1.WIDTH1=0.9653233
unit.1.0.1.X1=0.0
unit.1.0.1.Y1=0.3382353
unit.1.0.MFBitsA0=XXXXXXXXXXXXXXXX
unit.1.0.MFBitsA1=XXXXXXXXXXXXXXXX
unit.1.0.MFBitsA2=XXXXXXXXXXXXXXXX
unit.1.0.MFBitsA3=XXXXXXXXXXXXXXXX
unit.1.0.MFBitsA4=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.0.MFBitsA5=XXXX
unit.1.0.MFBitsA6=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.0.MFBitsA7=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.0.MFBitsB0=0000000000000000
unit.1.0.MFBitsB1=0000000000000000
unit.1.0.MFBitsB2=0000000000000000
unit.1.0.MFBitsB3=0000000000000000
unit.1.0.MFBitsB4=00000000000000000000000000000000
unit.1.0.MFBitsB5=0000
unit.1.0.MFBitsB6=00000000000000000000000000000000
unit.1.0.MFBitsB7=00000000000000000000000000000000
unit.1.0.MFCompareA0=0
unit.1.0.MFCompareA1=0
unit.1.0.MFCompareA2=0
unit.1.0.MFCompareA3=0
unit.1.0.MFCompareA4=0
unit.1.0.MFCompareA5=0
unit.1.0.MFCompareA6=0
unit.1.0.MFCompareA7=0
unit.1.0.MFCompareB0=999
unit.1.0.MFCompareB1=999
unit.1.0.MFCompareB2=999
unit.1.0.MFCompareB3=999
unit.1.0.MFCompareB4=999
unit.1.0.MFCompareB5=999
unit.1.0.MFCompareB6=999
unit.1.0.MFCompareB7=999
unit.1.0.MFCount=8
unit.1.0.MFDisplay0=0
unit.1.0.MFDisplay1=0
unit.1.0.MFDisplay2=0
unit.1.0.MFDisplay3=0
unit.1.0.MFDisplay4=0
unit.1.0.MFDisplay5=0
unit.1.0.MFDisplay6=0
unit.1.0.MFDisplay7=0
unit.1.0.MFEventType0=3
unit.1.0.MFEventType1=3
unit.1.0.MFEventType2=3
unit.1.0.MFEventType3=3
unit.1.0.MFEventType4=3
unit.1.0.MFEventType5=3
unit.1.0.MFEventType6=3
unit.1.0.MFEventType7=3
unit.1.0.RunMode=SINGLE RUN
unit.1.0.SQCondition=All Data
unit.1.0.SQContiguous0=0
unit.1.0.SequencerOn=0
unit.1.0.TCActive=0
unit.1.0.TCAdvanced0=0
unit.1.0.TCCondition0_0=M0
unit.1.0.TCCondition0_1=
unit.1.0.TCConditionType0=0
unit.1.0.TCCount=1
unit.1.0.TCEventCount0=1
unit.1.0.TCEventType0=3
unit.1.0.TCName0=TriggerCondition0
unit.1.0.TCOutputEnable0=0
unit.1.0.TCOutputHigh0=1
unit.1.0.TCOutputMode0=0
unit.1.0.coretype=ILA
unit.1.0.eventCount0=1
unit.1.0.eventCount1=1
unit.1.0.eventCount2=1
unit.1.0.eventCount3=1
unit.1.0.eventCount4=1
unit.1.0.eventCount5=1
unit.1.0.eventCount6=1
unit.1.0.eventCount7=1
unit.1.0.port.-1.buscount=0
unit.1.0.port.-1.channelcount=164
unit.1.0.port.-1.s.0.alias=
unit.1.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.0.name=DataPort[0]
unit.1.0.port.-1.s.0.orderindex=-1
unit.1.0.port.-1.s.0.visible=1
unit.1.0.port.-1.s.1.alias=
unit.1.0.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.1.name=DataPort[1]
unit.1.0.port.-1.s.1.orderindex=-1
unit.1.0.port.-1.s.1.visible=1
unit.1.0.port.-1.s.10.alias=
unit.1.0.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.10.name=DataPort[10]
unit.1.0.port.-1.s.10.orderindex=-1
unit.1.0.port.-1.s.10.visible=1
unit.1.0.port.-1.s.100.alias=
unit.1.0.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.100.name=DataPort[100]
unit.1.0.port.-1.s.100.orderindex=-1
unit.1.0.port.-1.s.100.visible=1
unit.1.0.port.-1.s.101.alias=
unit.1.0.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.101.name=DataPort[101]
unit.1.0.port.-1.s.101.orderindex=-1
unit.1.0.port.-1.s.101.visible=1
unit.1.0.port.-1.s.102.alias=
unit.1.0.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.102.name=DataPort[102]
unit.1.0.port.-1.s.102.orderindex=-1
unit.1.0.port.-1.s.102.visible=1
unit.1.0.port.-1.s.103.alias=
unit.1.0.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.103.name=DataPort[103]
unit.1.0.port.-1.s.103.orderindex=-1
unit.1.0.port.-1.s.103.visible=1
unit.1.0.port.-1.s.104.alias=
unit.1.0.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.104.name=DataPort[104]
unit.1.0.port.-1.s.104.orderindex=-1
unit.1.0.port.-1.s.104.visible=1
unit.1.0.port.-1.s.105.alias=
unit.1.0.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.105.name=DataPort[105]
unit.1.0.port.-1.s.105.orderindex=-1
unit.1.0.port.-1.s.105.visible=1
unit.1.0.port.-1.s.106.alias=
unit.1.0.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.106.name=DataPort[106]
unit.1.0.port.-1.s.106.orderindex=-1
unit.1.0.port.-1.s.106.visible=1
unit.1.0.port.-1.s.107.alias=
unit.1.0.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.107.name=DataPort[107]
unit.1.0.port.-1.s.107.orderindex=-1
unit.1.0.port.-1.s.107.visible=1
unit.1.0.port.-1.s.108.alias=
unit.1.0.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.108.name=DataPort[108]
unit.1.0.port.-1.s.108.orderindex=-1
unit.1.0.port.-1.s.108.visible=1
unit.1.0.port.-1.s.109.alias=
unit.1.0.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.109.name=DataPort[109]
unit.1.0.port.-1.s.109.orderindex=-1
unit.1.0.port.-1.s.109.visible=1
unit.1.0.port.-1.s.11.alias=
unit.1.0.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.11.name=DataPort[11]
unit.1.0.port.-1.s.11.orderindex=-1
unit.1.0.port.-1.s.11.visible=1
unit.1.0.port.-1.s.110.alias=
unit.1.0.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.110.name=DataPort[110]
unit.1.0.port.-1.s.110.orderindex=-1
unit.1.0.port.-1.s.110.visible=1
unit.1.0.port.-1.s.111.alias=
unit.1.0.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.111.name=DataPort[111]
unit.1.0.port.-1.s.111.orderindex=-1
unit.1.0.port.-1.s.111.visible=1
unit.1.0.port.-1.s.112.alias=
unit.1.0.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.112.name=DataPort[112]
unit.1.0.port.-1.s.112.orderindex=-1
unit.1.0.port.-1.s.112.visible=1
unit.1.0.port.-1.s.113.alias=
unit.1.0.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.113.name=DataPort[113]
unit.1.0.port.-1.s.113.orderindex=-1
unit.1.0.port.-1.s.113.visible=1
unit.1.0.port.-1.s.114.alias=
unit.1.0.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.114.name=DataPort[114]
unit.1.0.port.-1.s.114.orderindex=-1
unit.1.0.port.-1.s.114.visible=1
unit.1.0.port.-1.s.115.alias=
unit.1.0.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.115.name=DataPort[115]
unit.1.0.port.-1.s.115.orderindex=-1
unit.1.0.port.-1.s.115.visible=1
unit.1.0.port.-1.s.116.alias=
unit.1.0.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.116.name=DataPort[116]
unit.1.0.port.-1.s.116.orderindex=-1
unit.1.0.port.-1.s.116.visible=1
unit.1.0.port.-1.s.117.alias=
unit.1.0.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.117.name=DataPort[117]
unit.1.0.port.-1.s.117.orderindex=-1
unit.1.0.port.-1.s.117.visible=1
unit.1.0.port.-1.s.118.alias=
unit.1.0.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.118.name=DataPort[118]
unit.1.0.port.-1.s.118.orderindex=-1
unit.1.0.port.-1.s.118.visible=1
unit.1.0.port.-1.s.119.alias=
unit.1.0.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.119.name=DataPort[119]
unit.1.0.port.-1.s.119.orderindex=-1
unit.1.0.port.-1.s.119.visible=1
unit.1.0.port.-1.s.12.alias=
unit.1.0.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.12.name=DataPort[12]
unit.1.0.port.-1.s.12.orderindex=-1
unit.1.0.port.-1.s.12.visible=1
unit.1.0.port.-1.s.120.alias=
unit.1.0.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.120.name=DataPort[120]
unit.1.0.port.-1.s.120.orderindex=-1
unit.1.0.port.-1.s.120.visible=1
unit.1.0.port.-1.s.121.alias=
unit.1.0.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.121.name=DataPort[121]
unit.1.0.port.-1.s.121.orderindex=-1
unit.1.0.port.-1.s.121.visible=1
unit.1.0.port.-1.s.122.alias=
unit.1.0.port.-1.s.122.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.122.name=DataPort[122]
unit.1.0.port.-1.s.122.orderindex=-1
unit.1.0.port.-1.s.122.visible=1
unit.1.0.port.-1.s.123.alias=
unit.1.0.port.-1.s.123.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.123.name=DataPort[123]
unit.1.0.port.-1.s.123.orderindex=-1
unit.1.0.port.-1.s.123.visible=1
unit.1.0.port.-1.s.124.alias=
unit.1.0.port.-1.s.124.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.124.name=DataPort[124]
unit.1.0.port.-1.s.124.orderindex=-1
unit.1.0.port.-1.s.124.visible=1
unit.1.0.port.-1.s.125.alias=
unit.1.0.port.-1.s.125.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.125.name=DataPort[125]
unit.1.0.port.-1.s.125.orderindex=-1
unit.1.0.port.-1.s.125.visible=1
unit.1.0.port.-1.s.126.alias=
unit.1.0.port.-1.s.126.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.126.name=DataPort[126]
unit.1.0.port.-1.s.126.orderindex=-1
unit.1.0.port.-1.s.126.visible=1
unit.1.0.port.-1.s.127.alias=
unit.1.0.port.-1.s.127.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.127.name=DataPort[127]
unit.1.0.port.-1.s.127.orderindex=-1
unit.1.0.port.-1.s.127.visible=1
unit.1.0.port.-1.s.128.alias=
unit.1.0.port.-1.s.128.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.128.name=DataPort[128]
unit.1.0.port.-1.s.128.orderindex=-1
unit.1.0.port.-1.s.128.visible=1
unit.1.0.port.-1.s.129.alias=
unit.1.0.port.-1.s.129.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.129.name=DataPort[129]
unit.1.0.port.-1.s.129.orderindex=-1
unit.1.0.port.-1.s.129.visible=1
unit.1.0.port.-1.s.13.alias=
unit.1.0.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.13.name=DataPort[13]
unit.1.0.port.-1.s.13.orderindex=-1
unit.1.0.port.-1.s.13.visible=1
unit.1.0.port.-1.s.130.alias=
unit.1.0.port.-1.s.130.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.130.name=DataPort[130]
unit.1.0.port.-1.s.130.orderindex=-1
unit.1.0.port.-1.s.130.visible=1
unit.1.0.port.-1.s.131.alias=
unit.1.0.port.-1.s.131.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.131.name=DataPort[131]
unit.1.0.port.-1.s.131.orderindex=-1
unit.1.0.port.-1.s.131.visible=1
unit.1.0.port.-1.s.132.alias=
unit.1.0.port.-1.s.132.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.132.name=DataPort[132]
unit.1.0.port.-1.s.132.orderindex=-1
unit.1.0.port.-1.s.132.visible=1
unit.1.0.port.-1.s.133.alias=
unit.1.0.port.-1.s.133.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.133.name=DataPort[133]
unit.1.0.port.-1.s.133.orderindex=-1
unit.1.0.port.-1.s.133.visible=1
unit.1.0.port.-1.s.134.alias=
unit.1.0.port.-1.s.134.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.134.name=DataPort[134]
unit.1.0.port.-1.s.134.orderindex=-1
unit.1.0.port.-1.s.134.visible=1
unit.1.0.port.-1.s.135.alias=
unit.1.0.port.-1.s.135.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.135.name=DataPort[135]
unit.1.0.port.-1.s.135.orderindex=-1
unit.1.0.port.-1.s.135.visible=1
unit.1.0.port.-1.s.136.alias=
unit.1.0.port.-1.s.136.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.136.name=DataPort[136]
unit.1.0.port.-1.s.136.orderindex=-1
unit.1.0.port.-1.s.136.visible=1
unit.1.0.port.-1.s.137.alias=
unit.1.0.port.-1.s.137.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.137.name=DataPort[137]
unit.1.0.port.-1.s.137.orderindex=-1
unit.1.0.port.-1.s.137.visible=1
unit.1.0.port.-1.s.138.alias=
unit.1.0.port.-1.s.138.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.138.name=DataPort[138]
unit.1.0.port.-1.s.138.orderindex=-1
unit.1.0.port.-1.s.138.visible=1
unit.1.0.port.-1.s.139.alias=
unit.1.0.port.-1.s.139.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.139.name=DataPort[139]
unit.1.0.port.-1.s.139.orderindex=-1
unit.1.0.port.-1.s.139.visible=1
unit.1.0.port.-1.s.14.alias=
unit.1.0.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.14.name=DataPort[14]
unit.1.0.port.-1.s.14.orderindex=-1
unit.1.0.port.-1.s.14.visible=1
unit.1.0.port.-1.s.140.alias=
unit.1.0.port.-1.s.140.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.140.name=DataPort[140]
unit.1.0.port.-1.s.140.orderindex=-1
unit.1.0.port.-1.s.140.visible=1
unit.1.0.port.-1.s.141.alias=
unit.1.0.port.-1.s.141.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.141.name=DataPort[141]
unit.1.0.port.-1.s.141.orderindex=-1
unit.1.0.port.-1.s.141.visible=1
unit.1.0.port.-1.s.142.alias=
unit.1.0.port.-1.s.142.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.142.name=DataPort[142]
unit.1.0.port.-1.s.142.orderindex=-1
unit.1.0.port.-1.s.142.visible=1
unit.1.0.port.-1.s.143.alias=
unit.1.0.port.-1.s.143.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.143.name=DataPort[143]
unit.1.0.port.-1.s.143.orderindex=-1
unit.1.0.port.-1.s.143.visible=1
unit.1.0.port.-1.s.144.alias=
unit.1.0.port.-1.s.144.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.144.name=DataPort[144]
unit.1.0.port.-1.s.144.orderindex=-1
unit.1.0.port.-1.s.144.visible=1
unit.1.0.port.-1.s.145.alias=
unit.1.0.port.-1.s.145.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.145.name=DataPort[145]
unit.1.0.port.-1.s.145.orderindex=-1
unit.1.0.port.-1.s.145.visible=1
unit.1.0.port.-1.s.146.alias=
unit.1.0.port.-1.s.146.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.146.name=DataPort[146]
unit.1.0.port.-1.s.146.orderindex=-1
unit.1.0.port.-1.s.146.visible=1
unit.1.0.port.-1.s.147.alias=
unit.1.0.port.-1.s.147.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.147.name=DataPort[147]
unit.1.0.port.-1.s.147.orderindex=-1
unit.1.0.port.-1.s.147.visible=1
unit.1.0.port.-1.s.148.alias=
unit.1.0.port.-1.s.148.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.148.name=DataPort[148]
unit.1.0.port.-1.s.148.orderindex=-1
unit.1.0.port.-1.s.148.visible=1
unit.1.0.port.-1.s.149.alias=
unit.1.0.port.-1.s.149.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.149.name=DataPort[149]
unit.1.0.port.-1.s.149.orderindex=-1
unit.1.0.port.-1.s.149.visible=1
unit.1.0.port.-1.s.15.alias=
unit.1.0.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.15.name=DataPort[15]
unit.1.0.port.-1.s.15.orderindex=-1
unit.1.0.port.-1.s.15.visible=1
unit.1.0.port.-1.s.150.alias=
unit.1.0.port.-1.s.150.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.150.name=DataPort[150]
unit.1.0.port.-1.s.150.orderindex=-1
unit.1.0.port.-1.s.150.visible=1
unit.1.0.port.-1.s.151.alias=
unit.1.0.port.-1.s.151.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.151.name=DataPort[151]
unit.1.0.port.-1.s.151.orderindex=-1
unit.1.0.port.-1.s.151.visible=1
unit.1.0.port.-1.s.152.alias=
unit.1.0.port.-1.s.152.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.152.name=DataPort[152]
unit.1.0.port.-1.s.152.orderindex=-1
unit.1.0.port.-1.s.152.visible=1
unit.1.0.port.-1.s.153.alias=
unit.1.0.port.-1.s.153.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.153.name=DataPort[153]
unit.1.0.port.-1.s.153.orderindex=-1
unit.1.0.port.-1.s.153.visible=1
unit.1.0.port.-1.s.154.alias=
unit.1.0.port.-1.s.154.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.154.name=DataPort[154]
unit.1.0.port.-1.s.154.orderindex=-1
unit.1.0.port.-1.s.154.visible=1
unit.1.0.port.-1.s.155.alias=
unit.1.0.port.-1.s.155.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.155.name=DataPort[155]
unit.1.0.port.-1.s.155.orderindex=-1
unit.1.0.port.-1.s.155.visible=1
unit.1.0.port.-1.s.156.alias=
unit.1.0.port.-1.s.156.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.156.name=DataPort[156]
unit.1.0.port.-1.s.156.orderindex=-1
unit.1.0.port.-1.s.156.visible=1
unit.1.0.port.-1.s.157.alias=
unit.1.0.port.-1.s.157.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.157.name=DataPort[157]
unit.1.0.port.-1.s.157.orderindex=-1
unit.1.0.port.-1.s.157.visible=1
unit.1.0.port.-1.s.158.alias=
unit.1.0.port.-1.s.158.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.158.name=DataPort[158]
unit.1.0.port.-1.s.158.orderindex=-1
unit.1.0.port.-1.s.158.visible=1
unit.1.0.port.-1.s.159.alias=
unit.1.0.port.-1.s.159.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.159.name=DataPort[159]
unit.1.0.port.-1.s.159.orderindex=-1
unit.1.0.port.-1.s.159.visible=1
unit.1.0.port.-1.s.16.alias=
unit.1.0.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.16.name=DataPort[16]
unit.1.0.port.-1.s.16.orderindex=-1
unit.1.0.port.-1.s.16.visible=1
unit.1.0.port.-1.s.160.alias=
unit.1.0.port.-1.s.160.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.160.name=DataPort[160]
unit.1.0.port.-1.s.160.orderindex=-1
unit.1.0.port.-1.s.160.visible=1
unit.1.0.port.-1.s.161.alias=
unit.1.0.port.-1.s.161.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.161.name=DataPort[161]
unit.1.0.port.-1.s.161.orderindex=-1
unit.1.0.port.-1.s.161.visible=1
unit.1.0.port.-1.s.162.alias=
unit.1.0.port.-1.s.162.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.162.name=DataPort[162]
unit.1.0.port.-1.s.162.orderindex=-1
unit.1.0.port.-1.s.162.visible=1
unit.1.0.port.-1.s.163.alias=
unit.1.0.port.-1.s.163.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.163.name=DataPort[163]
unit.1.0.port.-1.s.163.orderindex=-1
unit.1.0.port.-1.s.163.visible=1
unit.1.0.port.-1.s.17.alias=
unit.1.0.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.17.name=DataPort[17]
unit.1.0.port.-1.s.17.orderindex=-1
unit.1.0.port.-1.s.17.visible=1
unit.1.0.port.-1.s.18.alias=
unit.1.0.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.18.name=DataPort[18]
unit.1.0.port.-1.s.18.orderindex=-1
unit.1.0.port.-1.s.18.visible=1
unit.1.0.port.-1.s.19.alias=
unit.1.0.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.19.name=DataPort[19]
unit.1.0.port.-1.s.19.orderindex=-1
unit.1.0.port.-1.s.19.visible=1
unit.1.0.port.-1.s.2.alias=
unit.1.0.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.2.name=DataPort[2]
unit.1.0.port.-1.s.2.orderindex=-1
unit.1.0.port.-1.s.2.visible=1
unit.1.0.port.-1.s.20.alias=
unit.1.0.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.20.name=DataPort[20]
unit.1.0.port.-1.s.20.orderindex=-1
unit.1.0.port.-1.s.20.visible=1
unit.1.0.port.-1.s.21.alias=
unit.1.0.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.21.name=DataPort[21]
unit.1.0.port.-1.s.21.orderindex=-1
unit.1.0.port.-1.s.21.visible=1
unit.1.0.port.-1.s.22.alias=
unit.1.0.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.22.name=DataPort[22]
unit.1.0.port.-1.s.22.orderindex=-1
unit.1.0.port.-1.s.22.visible=1
unit.1.0.port.-1.s.23.alias=
unit.1.0.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.23.name=DataPort[23]
unit.1.0.port.-1.s.23.orderindex=-1
unit.1.0.port.-1.s.23.visible=1
unit.1.0.port.-1.s.24.alias=
unit.1.0.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.24.name=DataPort[24]
unit.1.0.port.-1.s.24.orderindex=-1
unit.1.0.port.-1.s.24.visible=1
unit.1.0.port.-1.s.25.alias=
unit.1.0.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.25.name=DataPort[25]
unit.1.0.port.-1.s.25.orderindex=-1
unit.1.0.port.-1.s.25.visible=1
unit.1.0.port.-1.s.26.alias=
unit.1.0.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.26.name=DataPort[26]
unit.1.0.port.-1.s.26.orderindex=-1
unit.1.0.port.-1.s.26.visible=1
unit.1.0.port.-1.s.27.alias=
unit.1.0.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.27.name=DataPort[27]
unit.1.0.port.-1.s.27.orderindex=-1
unit.1.0.port.-1.s.27.visible=1
unit.1.0.port.-1.s.28.alias=
unit.1.0.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.28.name=DataPort[28]
unit.1.0.port.-1.s.28.orderindex=-1
unit.1.0.port.-1.s.28.visible=1
unit.1.0.port.-1.s.29.alias=
unit.1.0.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.29.name=DataPort[29]
unit.1.0.port.-1.s.29.orderindex=-1
unit.1.0.port.-1.s.29.visible=1
unit.1.0.port.-1.s.3.alias=
unit.1.0.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.3.name=DataPort[3]
unit.1.0.port.-1.s.3.orderindex=-1
unit.1.0.port.-1.s.3.visible=1
unit.1.0.port.-1.s.30.alias=
unit.1.0.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.30.name=DataPort[30]
unit.1.0.port.-1.s.30.orderindex=-1
unit.1.0.port.-1.s.30.visible=1
unit.1.0.port.-1.s.31.alias=
unit.1.0.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.31.name=DataPort[31]
unit.1.0.port.-1.s.31.orderindex=-1
unit.1.0.port.-1.s.31.visible=1
unit.1.0.port.-1.s.32.alias=
unit.1.0.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.32.name=DataPort[32]
unit.1.0.port.-1.s.32.orderindex=-1
unit.1.0.port.-1.s.32.visible=1
unit.1.0.port.-1.s.33.alias=
unit.1.0.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.33.name=DataPort[33]
unit.1.0.port.-1.s.33.orderindex=-1
unit.1.0.port.-1.s.33.visible=1
unit.1.0.port.-1.s.34.alias=
unit.1.0.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.34.name=DataPort[34]
unit.1.0.port.-1.s.34.orderindex=-1
unit.1.0.port.-1.s.34.visible=1
unit.1.0.port.-1.s.35.alias=
unit.1.0.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.35.name=DataPort[35]
unit.1.0.port.-1.s.35.orderindex=-1
unit.1.0.port.-1.s.35.visible=1
unit.1.0.port.-1.s.36.alias=
unit.1.0.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.36.name=DataPort[36]
unit.1.0.port.-1.s.36.orderindex=-1
unit.1.0.port.-1.s.36.visible=1
unit.1.0.port.-1.s.37.alias=
unit.1.0.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.37.name=DataPort[37]
unit.1.0.port.-1.s.37.orderindex=-1
unit.1.0.port.-1.s.37.visible=1
unit.1.0.port.-1.s.38.alias=
unit.1.0.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.38.name=DataPort[38]
unit.1.0.port.-1.s.38.orderindex=-1
unit.1.0.port.-1.s.38.visible=1
unit.1.0.port.-1.s.39.alias=
unit.1.0.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.39.name=DataPort[39]
unit.1.0.port.-1.s.39.orderindex=-1
unit.1.0.port.-1.s.39.visible=1
unit.1.0.port.-1.s.4.alias=
unit.1.0.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.4.name=DataPort[4]
unit.1.0.port.-1.s.4.orderindex=-1
unit.1.0.port.-1.s.4.visible=1
unit.1.0.port.-1.s.40.alias=
unit.1.0.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.40.name=DataPort[40]
unit.1.0.port.-1.s.40.orderindex=-1
unit.1.0.port.-1.s.40.visible=1
unit.1.0.port.-1.s.41.alias=
unit.1.0.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.41.name=DataPort[41]
unit.1.0.port.-1.s.41.orderindex=-1
unit.1.0.port.-1.s.41.visible=1
unit.1.0.port.-1.s.42.alias=
unit.1.0.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.42.name=DataPort[42]
unit.1.0.port.-1.s.42.orderindex=-1
unit.1.0.port.-1.s.42.visible=1
unit.1.0.port.-1.s.43.alias=
unit.1.0.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.43.name=DataPort[43]
unit.1.0.port.-1.s.43.orderindex=-1
unit.1.0.port.-1.s.43.visible=1
unit.1.0.port.-1.s.44.alias=
unit.1.0.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.44.name=DataPort[44]
unit.1.0.port.-1.s.44.orderindex=-1
unit.1.0.port.-1.s.44.visible=1
unit.1.0.port.-1.s.45.alias=
unit.1.0.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.45.name=DataPort[45]
unit.1.0.port.-1.s.45.orderindex=-1
unit.1.0.port.-1.s.45.visible=1
unit.1.0.port.-1.s.46.alias=
unit.1.0.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.46.name=DataPort[46]
unit.1.0.port.-1.s.46.orderindex=-1
unit.1.0.port.-1.s.46.visible=1
unit.1.0.port.-1.s.47.alias=
unit.1.0.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.47.name=DataPort[47]
unit.1.0.port.-1.s.47.orderindex=-1
unit.1.0.port.-1.s.47.visible=1
unit.1.0.port.-1.s.48.alias=
unit.1.0.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.48.name=DataPort[48]
unit.1.0.port.-1.s.48.orderindex=-1
unit.1.0.port.-1.s.48.visible=1
unit.1.0.port.-1.s.49.alias=
unit.1.0.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.49.name=DataPort[49]
unit.1.0.port.-1.s.49.orderindex=-1
unit.1.0.port.-1.s.49.visible=1
unit.1.0.port.-1.s.5.alias=
unit.1.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.5.name=DataPort[5]
unit.1.0.port.-1.s.5.orderindex=-1
unit.1.0.port.-1.s.5.visible=1
unit.1.0.port.-1.s.50.alias=
unit.1.0.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.50.name=DataPort[50]
unit.1.0.port.-1.s.50.orderindex=-1
unit.1.0.port.-1.s.50.visible=1
unit.1.0.port.-1.s.51.alias=
unit.1.0.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.51.name=DataPort[51]
unit.1.0.port.-1.s.51.orderindex=-1
unit.1.0.port.-1.s.51.visible=1
unit.1.0.port.-1.s.52.alias=
unit.1.0.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.52.name=DataPort[52]
unit.1.0.port.-1.s.52.orderindex=-1
unit.1.0.port.-1.s.52.visible=1
unit.1.0.port.-1.s.53.alias=
unit.1.0.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.53.name=DataPort[53]
unit.1.0.port.-1.s.53.orderindex=-1
unit.1.0.port.-1.s.53.visible=1
unit.1.0.port.-1.s.54.alias=
unit.1.0.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.54.name=DataPort[54]
unit.1.0.port.-1.s.54.orderindex=-1
unit.1.0.port.-1.s.54.visible=1
unit.1.0.port.-1.s.55.alias=
unit.1.0.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.55.name=DataPort[55]
unit.1.0.port.-1.s.55.orderindex=-1
unit.1.0.port.-1.s.55.visible=1
unit.1.0.port.-1.s.56.alias=
unit.1.0.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.56.name=DataPort[56]
unit.1.0.port.-1.s.56.orderindex=-1
unit.1.0.port.-1.s.56.visible=1
unit.1.0.port.-1.s.57.alias=
unit.1.0.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.57.name=DataPort[57]
unit.1.0.port.-1.s.57.orderindex=-1
unit.1.0.port.-1.s.57.visible=1
unit.1.0.port.-1.s.58.alias=
unit.1.0.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.58.name=DataPort[58]
unit.1.0.port.-1.s.58.orderindex=-1
unit.1.0.port.-1.s.58.visible=1
unit.1.0.port.-1.s.59.alias=
unit.1.0.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.59.name=DataPort[59]
unit.1.0.port.-1.s.59.orderindex=-1
unit.1.0.port.-1.s.59.visible=1
unit.1.0.port.-1.s.6.alias=
unit.1.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.6.name=DataPort[6]
unit.1.0.port.-1.s.6.orderindex=-1
unit.1.0.port.-1.s.6.visible=1
unit.1.0.port.-1.s.60.alias=
unit.1.0.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.60.name=DataPort[60]
unit.1.0.port.-1.s.60.orderindex=-1
unit.1.0.port.-1.s.60.visible=1
unit.1.0.port.-1.s.61.alias=
unit.1.0.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.61.name=DataPort[61]
unit.1.0.port.-1.s.61.orderindex=-1
unit.1.0.port.-1.s.61.visible=1
unit.1.0.port.-1.s.62.alias=
unit.1.0.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.62.name=DataPort[62]
unit.1.0.port.-1.s.62.orderindex=-1
unit.1.0.port.-1.s.62.visible=1
unit.1.0.port.-1.s.63.alias=
unit.1.0.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.63.name=DataPort[63]
unit.1.0.port.-1.s.63.orderindex=-1
unit.1.0.port.-1.s.63.visible=1
unit.1.0.port.-1.s.64.alias=
unit.1.0.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.64.name=DataPort[64]
unit.1.0.port.-1.s.64.orderindex=-1
unit.1.0.port.-1.s.64.visible=1
unit.1.0.port.-1.s.65.alias=
unit.1.0.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.65.name=DataPort[65]
unit.1.0.port.-1.s.65.orderindex=-1
unit.1.0.port.-1.s.65.visible=1
unit.1.0.port.-1.s.66.alias=
unit.1.0.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.66.name=DataPort[66]
unit.1.0.port.-1.s.66.orderindex=-1
unit.1.0.port.-1.s.66.visible=1
unit.1.0.port.-1.s.67.alias=
unit.1.0.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.67.name=DataPort[67]
unit.1.0.port.-1.s.67.orderindex=-1
unit.1.0.port.-1.s.67.visible=1
unit.1.0.port.-1.s.68.alias=
unit.1.0.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.68.name=DataPort[68]
unit.1.0.port.-1.s.68.orderindex=-1
unit.1.0.port.-1.s.68.visible=1
unit.1.0.port.-1.s.69.alias=
unit.1.0.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.69.name=DataPort[69]
unit.1.0.port.-1.s.69.orderindex=-1
unit.1.0.port.-1.s.69.visible=1
unit.1.0.port.-1.s.7.alias=
unit.1.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.7.name=DataPort[7]
unit.1.0.port.-1.s.7.orderindex=-1
unit.1.0.port.-1.s.7.visible=1
unit.1.0.port.-1.s.70.alias=
unit.1.0.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.70.name=DataPort[70]
unit.1.0.port.-1.s.70.orderindex=-1
unit.1.0.port.-1.s.70.visible=1
unit.1.0.port.-1.s.71.alias=
unit.1.0.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.71.name=DataPort[71]
unit.1.0.port.-1.s.71.orderindex=-1
unit.1.0.port.-1.s.71.visible=1
unit.1.0.port.-1.s.72.alias=
unit.1.0.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.72.name=DataPort[72]
unit.1.0.port.-1.s.72.orderindex=-1
unit.1.0.port.-1.s.72.visible=1
unit.1.0.port.-1.s.73.alias=
unit.1.0.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.73.name=DataPort[73]
unit.1.0.port.-1.s.73.orderindex=-1
unit.1.0.port.-1.s.73.visible=1
unit.1.0.port.-1.s.74.alias=
unit.1.0.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.74.name=DataPort[74]
unit.1.0.port.-1.s.74.orderindex=-1
unit.1.0.port.-1.s.74.visible=1
unit.1.0.port.-1.s.75.alias=
unit.1.0.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.75.name=DataPort[75]
unit.1.0.port.-1.s.75.orderindex=-1
unit.1.0.port.-1.s.75.visible=1
unit.1.0.port.-1.s.76.alias=
unit.1.0.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.76.name=DataPort[76]
unit.1.0.port.-1.s.76.orderindex=-1
unit.1.0.port.-1.s.76.visible=1
unit.1.0.port.-1.s.77.alias=
unit.1.0.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.77.name=DataPort[77]
unit.1.0.port.-1.s.77.orderindex=-1
unit.1.0.port.-1.s.77.visible=1
unit.1.0.port.-1.s.78.alias=
unit.1.0.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.78.name=DataPort[78]
unit.1.0.port.-1.s.78.orderindex=-1
unit.1.0.port.-1.s.78.visible=1
unit.1.0.port.-1.s.79.alias=
unit.1.0.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.79.name=DataPort[79]
unit.1.0.port.-1.s.79.orderindex=-1
unit.1.0.port.-1.s.79.visible=1
unit.1.0.port.-1.s.8.alias=
unit.1.0.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.8.name=DataPort[8]
unit.1.0.port.-1.s.8.orderindex=-1
unit.1.0.port.-1.s.8.visible=1
unit.1.0.port.-1.s.80.alias=
unit.1.0.port.-1.s.80.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.80.name=DataPort[80]
unit.1.0.port.-1.s.80.orderindex=-1
unit.1.0.port.-1.s.80.visible=1
unit.1.0.port.-1.s.81.alias=
unit.1.0.port.-1.s.81.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.81.name=DataPort[81]
unit.1.0.port.-1.s.81.orderindex=-1
unit.1.0.port.-1.s.81.visible=1
unit.1.0.port.-1.s.82.alias=
unit.1.0.port.-1.s.82.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.82.name=DataPort[82]
unit.1.0.port.-1.s.82.orderindex=-1
unit.1.0.port.-1.s.82.visible=1
unit.1.0.port.-1.s.83.alias=
unit.1.0.port.-1.s.83.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.83.name=DataPort[83]
unit.1.0.port.-1.s.83.orderindex=-1
unit.1.0.port.-1.s.83.visible=1
unit.1.0.port.-1.s.84.alias=
unit.1.0.port.-1.s.84.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.84.name=DataPort[84]
unit.1.0.port.-1.s.84.orderindex=-1
unit.1.0.port.-1.s.84.visible=1
unit.1.0.port.-1.s.85.alias=
unit.1.0.port.-1.s.85.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.85.name=DataPort[85]
unit.1.0.port.-1.s.85.orderindex=-1
unit.1.0.port.-1.s.85.visible=1
unit.1.0.port.-1.s.86.alias=
unit.1.0.port.-1.s.86.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.86.name=DataPort[86]
unit.1.0.port.-1.s.86.orderindex=-1
unit.1.0.port.-1.s.86.visible=1
unit.1.0.port.-1.s.87.alias=
unit.1.0.port.-1.s.87.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.87.name=DataPort[87]
unit.1.0.port.-1.s.87.orderindex=-1
unit.1.0.port.-1.s.87.visible=1
unit.1.0.port.-1.s.88.alias=
unit.1.0.port.-1.s.88.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.88.name=DataPort[88]
unit.1.0.port.-1.s.88.orderindex=-1
unit.1.0.port.-1.s.88.visible=1
unit.1.0.port.-1.s.89.alias=
unit.1.0.port.-1.s.89.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.89.name=DataPort[89]
unit.1.0.port.-1.s.89.orderindex=-1
unit.1.0.port.-1.s.89.visible=1
unit.1.0.port.-1.s.9.alias=
unit.1.0.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.9.name=DataPort[9]
unit.1.0.port.-1.s.9.orderindex=-1
unit.1.0.port.-1.s.9.visible=1
unit.1.0.port.-1.s.90.alias=
unit.1.0.port.-1.s.90.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.90.name=DataPort[90]
unit.1.0.port.-1.s.90.orderindex=-1
unit.1.0.port.-1.s.90.visible=1
unit.1.0.port.-1.s.91.alias=
unit.1.0.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.91.name=DataPort[91]
unit.1.0.port.-1.s.91.orderindex=-1
unit.1.0.port.-1.s.91.visible=1
unit.1.0.port.-1.s.92.alias=
unit.1.0.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.92.name=DataPort[92]
unit.1.0.port.-1.s.92.orderindex=-1
unit.1.0.port.-1.s.92.visible=1
unit.1.0.port.-1.s.93.alias=
unit.1.0.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.93.name=DataPort[93]
unit.1.0.port.-1.s.93.orderindex=-1
unit.1.0.port.-1.s.93.visible=1
unit.1.0.port.-1.s.94.alias=
unit.1.0.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.94.name=DataPort[94]
unit.1.0.port.-1.s.94.orderindex=-1
unit.1.0.port.-1.s.94.visible=1
unit.1.0.port.-1.s.95.alias=
unit.1.0.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.95.name=DataPort[95]
unit.1.0.port.-1.s.95.orderindex=-1
unit.1.0.port.-1.s.95.visible=1
unit.1.0.port.-1.s.96.alias=
unit.1.0.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.96.name=DataPort[96]
unit.1.0.port.-1.s.96.orderindex=-1
unit.1.0.port.-1.s.96.visible=1
unit.1.0.port.-1.s.97.alias=
unit.1.0.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.97.name=DataPort[97]
unit.1.0.port.-1.s.97.orderindex=-1
unit.1.0.port.-1.s.97.visible=1
unit.1.0.port.-1.s.98.alias=
unit.1.0.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.98.name=DataPort[98]
unit.1.0.port.-1.s.98.orderindex=-1
unit.1.0.port.-1.s.98.visible=1
unit.1.0.port.-1.s.99.alias=
unit.1.0.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.-1.s.99.name=DataPort[99]
unit.1.0.port.-1.s.99.orderindex=-1
unit.1.0.port.-1.s.99.visible=1
unit.1.0.port.0.b.0.alias=
unit.1.0.port.0.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.0.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.b.0.name=TriggerPort0
unit.1.0.port.0.b.0.orderindex=-1
unit.1.0.port.0.b.0.radix=Hex
unit.1.0.port.0.b.0.signedOffset=0.0
unit.1.0.port.0.b.0.signedPrecision=0
unit.1.0.port.0.b.0.signedScaleFactor=1.0
unit.1.0.port.0.b.0.unsignedOffset=0.0
unit.1.0.port.0.b.0.unsignedPrecision=0
unit.1.0.port.0.b.0.unsignedScaleFactor=1.0
unit.1.0.port.0.b.0.visible=1
unit.1.0.port.0.buscount=1
unit.1.0.port.0.channelcount=16
unit.1.0.port.0.s.0.alias=
unit.1.0.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.0.name=TriggerPort0[0]
unit.1.0.port.0.s.0.orderindex=-1
unit.1.0.port.0.s.0.visible=1
unit.1.0.port.0.s.1.alias=
unit.1.0.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.1.name=TriggerPort0[1]
unit.1.0.port.0.s.1.orderindex=-1
unit.1.0.port.0.s.1.visible=1
unit.1.0.port.0.s.10.alias=
unit.1.0.port.0.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.10.name=TriggerPort0[10]
unit.1.0.port.0.s.10.orderindex=-1
unit.1.0.port.0.s.10.visible=1
unit.1.0.port.0.s.11.alias=
unit.1.0.port.0.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.11.name=TriggerPort0[11]
unit.1.0.port.0.s.11.orderindex=-1
unit.1.0.port.0.s.11.visible=1
unit.1.0.port.0.s.12.alias=
unit.1.0.port.0.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.12.name=TriggerPort0[12]
unit.1.0.port.0.s.12.orderindex=-1
unit.1.0.port.0.s.12.visible=1
unit.1.0.port.0.s.13.alias=
unit.1.0.port.0.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.13.name=TriggerPort0[13]
unit.1.0.port.0.s.13.orderindex=-1
unit.1.0.port.0.s.13.visible=1
unit.1.0.port.0.s.14.alias=
unit.1.0.port.0.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.14.name=TriggerPort0[14]
unit.1.0.port.0.s.14.orderindex=-1
unit.1.0.port.0.s.14.visible=1
unit.1.0.port.0.s.15.alias=
unit.1.0.port.0.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.15.name=TriggerPort0[15]
unit.1.0.port.0.s.15.orderindex=-1
unit.1.0.port.0.s.15.visible=1
unit.1.0.port.0.s.2.alias=
unit.1.0.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.2.name=TriggerPort0[2]
unit.1.0.port.0.s.2.orderindex=-1
unit.1.0.port.0.s.2.visible=1
unit.1.0.port.0.s.3.alias=
unit.1.0.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.3.name=TriggerPort0[3]
unit.1.0.port.0.s.3.orderindex=-1
unit.1.0.port.0.s.3.visible=1
unit.1.0.port.0.s.4.alias=
unit.1.0.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.4.name=TriggerPort0[4]
unit.1.0.port.0.s.4.orderindex=-1
unit.1.0.port.0.s.4.visible=1
unit.1.0.port.0.s.5.alias=
unit.1.0.port.0.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.5.name=TriggerPort0[5]
unit.1.0.port.0.s.5.orderindex=-1
unit.1.0.port.0.s.5.visible=1
unit.1.0.port.0.s.6.alias=
unit.1.0.port.0.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.6.name=TriggerPort0[6]
unit.1.0.port.0.s.6.orderindex=-1
unit.1.0.port.0.s.6.visible=1
unit.1.0.port.0.s.7.alias=
unit.1.0.port.0.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.7.name=TriggerPort0[7]
unit.1.0.port.0.s.7.orderindex=-1
unit.1.0.port.0.s.7.visible=1
unit.1.0.port.0.s.8.alias=
unit.1.0.port.0.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.8.name=TriggerPort0[8]
unit.1.0.port.0.s.8.orderindex=-1
unit.1.0.port.0.s.8.visible=1
unit.1.0.port.0.s.9.alias=
unit.1.0.port.0.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.0.s.9.name=TriggerPort0[9]
unit.1.0.port.0.s.9.orderindex=-1
unit.1.0.port.0.s.9.visible=1
unit.1.0.port.1.b.0.alias=
unit.1.0.port.1.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.0.port.1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.b.0.name=TriggerPort1
unit.1.0.port.1.b.0.orderindex=-1
unit.1.0.port.1.b.0.radix=Hex
unit.1.0.port.1.b.0.signedOffset=0.0
unit.1.0.port.1.b.0.signedPrecision=0
unit.1.0.port.1.b.0.signedScaleFactor=1.0
unit.1.0.port.1.b.0.unsignedOffset=0.0
unit.1.0.port.1.b.0.unsignedPrecision=0
unit.1.0.port.1.b.0.unsignedScaleFactor=1.0
unit.1.0.port.1.b.0.visible=1
unit.1.0.port.1.buscount=1
unit.1.0.port.1.channelcount=16
unit.1.0.port.1.s.0.alias=
unit.1.0.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.0.name=TriggerPort1[0]
unit.1.0.port.1.s.0.orderindex=-1
unit.1.0.port.1.s.0.visible=1
unit.1.0.port.1.s.1.alias=
unit.1.0.port.1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.1.name=TriggerPort1[1]
unit.1.0.port.1.s.1.orderindex=-1
unit.1.0.port.1.s.1.visible=1
unit.1.0.port.1.s.10.alias=
unit.1.0.port.1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.10.name=TriggerPort1[10]
unit.1.0.port.1.s.10.orderindex=-1
unit.1.0.port.1.s.10.visible=1
unit.1.0.port.1.s.11.alias=
unit.1.0.port.1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.11.name=TriggerPort1[11]
unit.1.0.port.1.s.11.orderindex=-1
unit.1.0.port.1.s.11.visible=1
unit.1.0.port.1.s.12.alias=
unit.1.0.port.1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.12.name=TriggerPort1[12]
unit.1.0.port.1.s.12.orderindex=-1
unit.1.0.port.1.s.12.visible=1
unit.1.0.port.1.s.13.alias=
unit.1.0.port.1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.13.name=TriggerPort1[13]
unit.1.0.port.1.s.13.orderindex=-1
unit.1.0.port.1.s.13.visible=1
unit.1.0.port.1.s.14.alias=
unit.1.0.port.1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.14.name=TriggerPort1[14]
unit.1.0.port.1.s.14.orderindex=-1
unit.1.0.port.1.s.14.visible=1
unit.1.0.port.1.s.15.alias=
unit.1.0.port.1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.15.name=TriggerPort1[15]
unit.1.0.port.1.s.15.orderindex=-1
unit.1.0.port.1.s.15.visible=1
unit.1.0.port.1.s.2.alias=
unit.1.0.port.1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.2.name=TriggerPort1[2]
unit.1.0.port.1.s.2.orderindex=-1
unit.1.0.port.1.s.2.visible=1
unit.1.0.port.1.s.3.alias=
unit.1.0.port.1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.3.name=TriggerPort1[3]
unit.1.0.port.1.s.3.orderindex=-1
unit.1.0.port.1.s.3.visible=1
unit.1.0.port.1.s.4.alias=
unit.1.0.port.1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.4.name=TriggerPort1[4]
unit.1.0.port.1.s.4.orderindex=-1
unit.1.0.port.1.s.4.visible=1
unit.1.0.port.1.s.5.alias=
unit.1.0.port.1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.5.name=TriggerPort1[5]
unit.1.0.port.1.s.5.orderindex=-1
unit.1.0.port.1.s.5.visible=1
unit.1.0.port.1.s.6.alias=
unit.1.0.port.1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.6.name=TriggerPort1[6]
unit.1.0.port.1.s.6.orderindex=-1
unit.1.0.port.1.s.6.visible=1
unit.1.0.port.1.s.7.alias=
unit.1.0.port.1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.7.name=TriggerPort1[7]
unit.1.0.port.1.s.7.orderindex=-1
unit.1.0.port.1.s.7.visible=1
unit.1.0.port.1.s.8.alias=
unit.1.0.port.1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.8.name=TriggerPort1[8]
unit.1.0.port.1.s.8.orderindex=-1
unit.1.0.port.1.s.8.visible=1
unit.1.0.port.1.s.9.alias=
unit.1.0.port.1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.1.s.9.name=TriggerPort1[9]
unit.1.0.port.1.s.9.orderindex=-1
unit.1.0.port.1.s.9.visible=1
unit.1.0.port.2.b.0.alias=
unit.1.0.port.2.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.0.port.2.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.b.0.name=TriggerPort2
unit.1.0.port.2.b.0.orderindex=-1
unit.1.0.port.2.b.0.radix=Hex
unit.1.0.port.2.b.0.signedOffset=0.0
unit.1.0.port.2.b.0.signedPrecision=0
unit.1.0.port.2.b.0.signedScaleFactor=1.0
unit.1.0.port.2.b.0.unsignedOffset=0.0
unit.1.0.port.2.b.0.unsignedPrecision=0
unit.1.0.port.2.b.0.unsignedScaleFactor=1.0
unit.1.0.port.2.b.0.visible=1
unit.1.0.port.2.buscount=1
unit.1.0.port.2.channelcount=16
unit.1.0.port.2.s.0.alias=
unit.1.0.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.0.name=TriggerPort2[0]
unit.1.0.port.2.s.0.orderindex=-1
unit.1.0.port.2.s.0.visible=1
unit.1.0.port.2.s.1.alias=
unit.1.0.port.2.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.1.name=TriggerPort2[1]
unit.1.0.port.2.s.1.orderindex=-1
unit.1.0.port.2.s.1.visible=1
unit.1.0.port.2.s.10.alias=
unit.1.0.port.2.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.10.name=TriggerPort2[10]
unit.1.0.port.2.s.10.orderindex=-1
unit.1.0.port.2.s.10.visible=1
unit.1.0.port.2.s.11.alias=
unit.1.0.port.2.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.11.name=TriggerPort2[11]
unit.1.0.port.2.s.11.orderindex=-1
unit.1.0.port.2.s.11.visible=1
unit.1.0.port.2.s.12.alias=
unit.1.0.port.2.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.12.name=TriggerPort2[12]
unit.1.0.port.2.s.12.orderindex=-1
unit.1.0.port.2.s.12.visible=1
unit.1.0.port.2.s.13.alias=
unit.1.0.port.2.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.13.name=TriggerPort2[13]
unit.1.0.port.2.s.13.orderindex=-1
unit.1.0.port.2.s.13.visible=1
unit.1.0.port.2.s.14.alias=
unit.1.0.port.2.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.14.name=TriggerPort2[14]
unit.1.0.port.2.s.14.orderindex=-1
unit.1.0.port.2.s.14.visible=1
unit.1.0.port.2.s.15.alias=
unit.1.0.port.2.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.15.name=TriggerPort2[15]
unit.1.0.port.2.s.15.orderindex=-1
unit.1.0.port.2.s.15.visible=1
unit.1.0.port.2.s.2.alias=
unit.1.0.port.2.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.2.name=TriggerPort2[2]
unit.1.0.port.2.s.2.orderindex=-1
unit.1.0.port.2.s.2.visible=1
unit.1.0.port.2.s.3.alias=
unit.1.0.port.2.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.3.name=TriggerPort2[3]
unit.1.0.port.2.s.3.orderindex=-1
unit.1.0.port.2.s.3.visible=1
unit.1.0.port.2.s.4.alias=
unit.1.0.port.2.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.4.name=TriggerPort2[4]
unit.1.0.port.2.s.4.orderindex=-1
unit.1.0.port.2.s.4.visible=1
unit.1.0.port.2.s.5.alias=
unit.1.0.port.2.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.5.name=TriggerPort2[5]
unit.1.0.port.2.s.5.orderindex=-1
unit.1.0.port.2.s.5.visible=1
unit.1.0.port.2.s.6.alias=
unit.1.0.port.2.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.6.name=TriggerPort2[6]
unit.1.0.port.2.s.6.orderindex=-1
unit.1.0.port.2.s.6.visible=1
unit.1.0.port.2.s.7.alias=
unit.1.0.port.2.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.7.name=TriggerPort2[7]
unit.1.0.port.2.s.7.orderindex=-1
unit.1.0.port.2.s.7.visible=1
unit.1.0.port.2.s.8.alias=
unit.1.0.port.2.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.8.name=TriggerPort2[8]
unit.1.0.port.2.s.8.orderindex=-1
unit.1.0.port.2.s.8.visible=1
unit.1.0.port.2.s.9.alias=
unit.1.0.port.2.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.2.s.9.name=TriggerPort2[9]
unit.1.0.port.2.s.9.orderindex=-1
unit.1.0.port.2.s.9.visible=1
unit.1.0.port.3.b.0.alias=
unit.1.0.port.3.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.0.port.3.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.b.0.name=TriggerPort3
unit.1.0.port.3.b.0.orderindex=-1
unit.1.0.port.3.b.0.radix=Hex
unit.1.0.port.3.b.0.signedOffset=0.0
unit.1.0.port.3.b.0.signedPrecision=0
unit.1.0.port.3.b.0.signedScaleFactor=1.0
unit.1.0.port.3.b.0.unsignedOffset=0.0
unit.1.0.port.3.b.0.unsignedPrecision=0
unit.1.0.port.3.b.0.unsignedScaleFactor=1.0
unit.1.0.port.3.b.0.visible=1
unit.1.0.port.3.buscount=1
unit.1.0.port.3.channelcount=16
unit.1.0.port.3.s.0.alias=
unit.1.0.port.3.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.0.name=TriggerPort3[0]
unit.1.0.port.3.s.0.orderindex=-1
unit.1.0.port.3.s.0.visible=1
unit.1.0.port.3.s.1.alias=
unit.1.0.port.3.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.1.name=TriggerPort3[1]
unit.1.0.port.3.s.1.orderindex=-1
unit.1.0.port.3.s.1.visible=1
unit.1.0.port.3.s.10.alias=
unit.1.0.port.3.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.10.name=TriggerPort3[10]
unit.1.0.port.3.s.10.orderindex=-1
unit.1.0.port.3.s.10.visible=1
unit.1.0.port.3.s.11.alias=
unit.1.0.port.3.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.11.name=TriggerPort3[11]
unit.1.0.port.3.s.11.orderindex=-1
unit.1.0.port.3.s.11.visible=1
unit.1.0.port.3.s.12.alias=
unit.1.0.port.3.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.12.name=TriggerPort3[12]
unit.1.0.port.3.s.12.orderindex=-1
unit.1.0.port.3.s.12.visible=1
unit.1.0.port.3.s.13.alias=
unit.1.0.port.3.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.13.name=TriggerPort3[13]
unit.1.0.port.3.s.13.orderindex=-1
unit.1.0.port.3.s.13.visible=1
unit.1.0.port.3.s.14.alias=
unit.1.0.port.3.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.14.name=TriggerPort3[14]
unit.1.0.port.3.s.14.orderindex=-1
unit.1.0.port.3.s.14.visible=1
unit.1.0.port.3.s.15.alias=
unit.1.0.port.3.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.15.name=TriggerPort3[15]
unit.1.0.port.3.s.15.orderindex=-1
unit.1.0.port.3.s.15.visible=1
unit.1.0.port.3.s.2.alias=
unit.1.0.port.3.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.2.name=TriggerPort3[2]
unit.1.0.port.3.s.2.orderindex=-1
unit.1.0.port.3.s.2.visible=1
unit.1.0.port.3.s.3.alias=
unit.1.0.port.3.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.3.name=TriggerPort3[3]
unit.1.0.port.3.s.3.orderindex=-1
unit.1.0.port.3.s.3.visible=1
unit.1.0.port.3.s.4.alias=
unit.1.0.port.3.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.4.name=TriggerPort3[4]
unit.1.0.port.3.s.4.orderindex=-1
unit.1.0.port.3.s.4.visible=1
unit.1.0.port.3.s.5.alias=
unit.1.0.port.3.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.5.name=TriggerPort3[5]
unit.1.0.port.3.s.5.orderindex=-1
unit.1.0.port.3.s.5.visible=1
unit.1.0.port.3.s.6.alias=
unit.1.0.port.3.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.6.name=TriggerPort3[6]
unit.1.0.port.3.s.6.orderindex=-1
unit.1.0.port.3.s.6.visible=1
unit.1.0.port.3.s.7.alias=
unit.1.0.port.3.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.7.name=TriggerPort3[7]
unit.1.0.port.3.s.7.orderindex=-1
unit.1.0.port.3.s.7.visible=1
unit.1.0.port.3.s.8.alias=
unit.1.0.port.3.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.8.name=TriggerPort3[8]
unit.1.0.port.3.s.8.orderindex=-1
unit.1.0.port.3.s.8.visible=1
unit.1.0.port.3.s.9.alias=
unit.1.0.port.3.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.3.s.9.name=TriggerPort3[9]
unit.1.0.port.3.s.9.orderindex=-1
unit.1.0.port.3.s.9.visible=1
unit.1.0.port.4.b.0.alias=
unit.1.0.port.4.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.0.port.4.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.b.0.name=TriggerPort4
unit.1.0.port.4.b.0.orderindex=-1
unit.1.0.port.4.b.0.radix=Hex
unit.1.0.port.4.b.0.signedOffset=0.0
unit.1.0.port.4.b.0.signedPrecision=0
unit.1.0.port.4.b.0.signedScaleFactor=1.0
unit.1.0.port.4.b.0.unsignedOffset=0.0
unit.1.0.port.4.b.0.unsignedPrecision=0
unit.1.0.port.4.b.0.unsignedScaleFactor=1.0
unit.1.0.port.4.b.0.visible=1
unit.1.0.port.4.buscount=1
unit.1.0.port.4.channelcount=32
unit.1.0.port.4.s.0.alias=
unit.1.0.port.4.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.0.name=TriggerPort4[0]
unit.1.0.port.4.s.0.orderindex=-1
unit.1.0.port.4.s.0.visible=1
unit.1.0.port.4.s.1.alias=
unit.1.0.port.4.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.1.name=TriggerPort4[1]
unit.1.0.port.4.s.1.orderindex=-1
unit.1.0.port.4.s.1.visible=1
unit.1.0.port.4.s.10.alias=
unit.1.0.port.4.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.10.name=TriggerPort4[10]
unit.1.0.port.4.s.10.orderindex=-1
unit.1.0.port.4.s.10.visible=1
unit.1.0.port.4.s.11.alias=
unit.1.0.port.4.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.11.name=TriggerPort4[11]
unit.1.0.port.4.s.11.orderindex=-1
unit.1.0.port.4.s.11.visible=1
unit.1.0.port.4.s.12.alias=
unit.1.0.port.4.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.12.name=TriggerPort4[12]
unit.1.0.port.4.s.12.orderindex=-1
unit.1.0.port.4.s.12.visible=1
unit.1.0.port.4.s.13.alias=
unit.1.0.port.4.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.13.name=TriggerPort4[13]
unit.1.0.port.4.s.13.orderindex=-1
unit.1.0.port.4.s.13.visible=1
unit.1.0.port.4.s.14.alias=
unit.1.0.port.4.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.14.name=TriggerPort4[14]
unit.1.0.port.4.s.14.orderindex=-1
unit.1.0.port.4.s.14.visible=1
unit.1.0.port.4.s.15.alias=
unit.1.0.port.4.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.15.name=TriggerPort4[15]
unit.1.0.port.4.s.15.orderindex=-1
unit.1.0.port.4.s.15.visible=1
unit.1.0.port.4.s.16.alias=
unit.1.0.port.4.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.16.name=TriggerPort4[16]
unit.1.0.port.4.s.16.orderindex=-1
unit.1.0.port.4.s.16.visible=1
unit.1.0.port.4.s.17.alias=
unit.1.0.port.4.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.17.name=TriggerPort4[17]
unit.1.0.port.4.s.17.orderindex=-1
unit.1.0.port.4.s.17.visible=1
unit.1.0.port.4.s.18.alias=
unit.1.0.port.4.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.18.name=TriggerPort4[18]
unit.1.0.port.4.s.18.orderindex=-1
unit.1.0.port.4.s.18.visible=1
unit.1.0.port.4.s.19.alias=
unit.1.0.port.4.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.19.name=TriggerPort4[19]
unit.1.0.port.4.s.19.orderindex=-1
unit.1.0.port.4.s.19.visible=1
unit.1.0.port.4.s.2.alias=
unit.1.0.port.4.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.2.name=TriggerPort4[2]
unit.1.0.port.4.s.2.orderindex=-1
unit.1.0.port.4.s.2.visible=1
unit.1.0.port.4.s.20.alias=
unit.1.0.port.4.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.20.name=TriggerPort4[20]
unit.1.0.port.4.s.20.orderindex=-1
unit.1.0.port.4.s.20.visible=1
unit.1.0.port.4.s.21.alias=
unit.1.0.port.4.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.21.name=TriggerPort4[21]
unit.1.0.port.4.s.21.orderindex=-1
unit.1.0.port.4.s.21.visible=1
unit.1.0.port.4.s.22.alias=
unit.1.0.port.4.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.22.name=TriggerPort4[22]
unit.1.0.port.4.s.22.orderindex=-1
unit.1.0.port.4.s.22.visible=1
unit.1.0.port.4.s.23.alias=
unit.1.0.port.4.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.23.name=TriggerPort4[23]
unit.1.0.port.4.s.23.orderindex=-1
unit.1.0.port.4.s.23.visible=1
unit.1.0.port.4.s.24.alias=
unit.1.0.port.4.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.24.name=TriggerPort4[24]
unit.1.0.port.4.s.24.orderindex=-1
unit.1.0.port.4.s.24.visible=1
unit.1.0.port.4.s.25.alias=
unit.1.0.port.4.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.25.name=TriggerPort4[25]
unit.1.0.port.4.s.25.orderindex=-1
unit.1.0.port.4.s.25.visible=1
unit.1.0.port.4.s.26.alias=
unit.1.0.port.4.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.26.name=TriggerPort4[26]
unit.1.0.port.4.s.26.orderindex=-1
unit.1.0.port.4.s.26.visible=1
unit.1.0.port.4.s.27.alias=
unit.1.0.port.4.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.27.name=TriggerPort4[27]
unit.1.0.port.4.s.27.orderindex=-1
unit.1.0.port.4.s.27.visible=1
unit.1.0.port.4.s.28.alias=
unit.1.0.port.4.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.28.name=TriggerPort4[28]
unit.1.0.port.4.s.28.orderindex=-1
unit.1.0.port.4.s.28.visible=1
unit.1.0.port.4.s.29.alias=
unit.1.0.port.4.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.29.name=TriggerPort4[29]
unit.1.0.port.4.s.29.orderindex=-1
unit.1.0.port.4.s.29.visible=1
unit.1.0.port.4.s.3.alias=
unit.1.0.port.4.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.3.name=TriggerPort4[3]
unit.1.0.port.4.s.3.orderindex=-1
unit.1.0.port.4.s.3.visible=1
unit.1.0.port.4.s.30.alias=
unit.1.0.port.4.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.30.name=TriggerPort4[30]
unit.1.0.port.4.s.30.orderindex=-1
unit.1.0.port.4.s.30.visible=1
unit.1.0.port.4.s.31.alias=
unit.1.0.port.4.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.31.name=TriggerPort4[31]
unit.1.0.port.4.s.31.orderindex=-1
unit.1.0.port.4.s.31.visible=1
unit.1.0.port.4.s.4.alias=
unit.1.0.port.4.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.4.name=TriggerPort4[4]
unit.1.0.port.4.s.4.orderindex=-1
unit.1.0.port.4.s.4.visible=1
unit.1.0.port.4.s.5.alias=
unit.1.0.port.4.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.5.name=TriggerPort4[5]
unit.1.0.port.4.s.5.orderindex=-1
unit.1.0.port.4.s.5.visible=1
unit.1.0.port.4.s.6.alias=
unit.1.0.port.4.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.6.name=TriggerPort4[6]
unit.1.0.port.4.s.6.orderindex=-1
unit.1.0.port.4.s.6.visible=1
unit.1.0.port.4.s.7.alias=
unit.1.0.port.4.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.7.name=TriggerPort4[7]
unit.1.0.port.4.s.7.orderindex=-1
unit.1.0.port.4.s.7.visible=1
unit.1.0.port.4.s.8.alias=
unit.1.0.port.4.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.8.name=TriggerPort4[8]
unit.1.0.port.4.s.8.orderindex=-1
unit.1.0.port.4.s.8.visible=1
unit.1.0.port.4.s.9.alias=
unit.1.0.port.4.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.4.s.9.name=TriggerPort4[9]
unit.1.0.port.4.s.9.orderindex=-1
unit.1.0.port.4.s.9.visible=1
unit.1.0.port.5.b.0.alias=
unit.1.0.port.5.b.0.channellist=0 1 2 3
unit.1.0.port.5.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.5.b.0.name=TriggerPort5
unit.1.0.port.5.b.0.orderindex=-1
unit.1.0.port.5.b.0.radix=Hex
unit.1.0.port.5.b.0.signedOffset=0.0
unit.1.0.port.5.b.0.signedPrecision=0
unit.1.0.port.5.b.0.signedScaleFactor=1.0
unit.1.0.port.5.b.0.unsignedOffset=0.0
unit.1.0.port.5.b.0.unsignedPrecision=0
unit.1.0.port.5.b.0.unsignedScaleFactor=1.0
unit.1.0.port.5.b.0.visible=1
unit.1.0.port.5.buscount=1
unit.1.0.port.5.channelcount=4
unit.1.0.port.5.s.0.alias=
unit.1.0.port.5.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.5.s.0.name=TriggerPort5[0]
unit.1.0.port.5.s.0.orderindex=-1
unit.1.0.port.5.s.0.visible=1
unit.1.0.port.5.s.1.alias=
unit.1.0.port.5.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.5.s.1.name=TriggerPort5[1]
unit.1.0.port.5.s.1.orderindex=-1
unit.1.0.port.5.s.1.visible=1
unit.1.0.port.5.s.2.alias=
unit.1.0.port.5.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.5.s.2.name=TriggerPort5[2]
unit.1.0.port.5.s.2.orderindex=-1
unit.1.0.port.5.s.2.visible=1
unit.1.0.port.5.s.3.alias=
unit.1.0.port.5.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.5.s.3.name=TriggerPort5[3]
unit.1.0.port.5.s.3.orderindex=-1
unit.1.0.port.5.s.3.visible=1
unit.1.0.port.6.b.0.alias=
unit.1.0.port.6.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.0.port.6.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.b.0.name=TriggerPort6
unit.1.0.port.6.b.0.orderindex=-1
unit.1.0.port.6.b.0.radix=Hex
unit.1.0.port.6.b.0.signedOffset=0.0
unit.1.0.port.6.b.0.signedPrecision=0
unit.1.0.port.6.b.0.signedScaleFactor=1.0
unit.1.0.port.6.b.0.unsignedOffset=0.0
unit.1.0.port.6.b.0.unsignedPrecision=0
unit.1.0.port.6.b.0.unsignedScaleFactor=1.0
unit.1.0.port.6.b.0.visible=1
unit.1.0.port.6.buscount=1
unit.1.0.port.6.channelcount=32
unit.1.0.port.6.s.0.alias=
unit.1.0.port.6.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.0.name=TriggerPort6[0]
unit.1.0.port.6.s.0.orderindex=-1
unit.1.0.port.6.s.0.visible=1
unit.1.0.port.6.s.1.alias=
unit.1.0.port.6.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.1.name=TriggerPort6[1]
unit.1.0.port.6.s.1.orderindex=-1
unit.1.0.port.6.s.1.visible=1
unit.1.0.port.6.s.10.alias=
unit.1.0.port.6.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.10.name=TriggerPort6[10]
unit.1.0.port.6.s.10.orderindex=-1
unit.1.0.port.6.s.10.visible=1
unit.1.0.port.6.s.11.alias=
unit.1.0.port.6.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.11.name=TriggerPort6[11]
unit.1.0.port.6.s.11.orderindex=-1
unit.1.0.port.6.s.11.visible=1
unit.1.0.port.6.s.12.alias=
unit.1.0.port.6.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.12.name=TriggerPort6[12]
unit.1.0.port.6.s.12.orderindex=-1
unit.1.0.port.6.s.12.visible=1
unit.1.0.port.6.s.13.alias=
unit.1.0.port.6.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.13.name=TriggerPort6[13]
unit.1.0.port.6.s.13.orderindex=-1
unit.1.0.port.6.s.13.visible=1
unit.1.0.port.6.s.14.alias=
unit.1.0.port.6.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.14.name=TriggerPort6[14]
unit.1.0.port.6.s.14.orderindex=-1
unit.1.0.port.6.s.14.visible=1
unit.1.0.port.6.s.15.alias=
unit.1.0.port.6.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.15.name=TriggerPort6[15]
unit.1.0.port.6.s.15.orderindex=-1
unit.1.0.port.6.s.15.visible=1
unit.1.0.port.6.s.16.alias=
unit.1.0.port.6.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.16.name=TriggerPort6[16]
unit.1.0.port.6.s.16.orderindex=-1
unit.1.0.port.6.s.16.visible=1
unit.1.0.port.6.s.17.alias=
unit.1.0.port.6.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.17.name=TriggerPort6[17]
unit.1.0.port.6.s.17.orderindex=-1
unit.1.0.port.6.s.17.visible=1
unit.1.0.port.6.s.18.alias=
unit.1.0.port.6.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.18.name=TriggerPort6[18]
unit.1.0.port.6.s.18.orderindex=-1
unit.1.0.port.6.s.18.visible=1
unit.1.0.port.6.s.19.alias=
unit.1.0.port.6.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.19.name=TriggerPort6[19]
unit.1.0.port.6.s.19.orderindex=-1
unit.1.0.port.6.s.19.visible=1
unit.1.0.port.6.s.2.alias=
unit.1.0.port.6.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.2.name=TriggerPort6[2]
unit.1.0.port.6.s.2.orderindex=-1
unit.1.0.port.6.s.2.visible=1
unit.1.0.port.6.s.20.alias=
unit.1.0.port.6.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.20.name=TriggerPort6[20]
unit.1.0.port.6.s.20.orderindex=-1
unit.1.0.port.6.s.20.visible=1
unit.1.0.port.6.s.21.alias=
unit.1.0.port.6.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.21.name=TriggerPort6[21]
unit.1.0.port.6.s.21.orderindex=-1
unit.1.0.port.6.s.21.visible=1
unit.1.0.port.6.s.22.alias=
unit.1.0.port.6.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.22.name=TriggerPort6[22]
unit.1.0.port.6.s.22.orderindex=-1
unit.1.0.port.6.s.22.visible=1
unit.1.0.port.6.s.23.alias=
unit.1.0.port.6.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.23.name=TriggerPort6[23]
unit.1.0.port.6.s.23.orderindex=-1
unit.1.0.port.6.s.23.visible=1
unit.1.0.port.6.s.24.alias=
unit.1.0.port.6.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.24.name=TriggerPort6[24]
unit.1.0.port.6.s.24.orderindex=-1
unit.1.0.port.6.s.24.visible=1
unit.1.0.port.6.s.25.alias=
unit.1.0.port.6.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.25.name=TriggerPort6[25]
unit.1.0.port.6.s.25.orderindex=-1
unit.1.0.port.6.s.25.visible=1
unit.1.0.port.6.s.26.alias=
unit.1.0.port.6.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.26.name=TriggerPort6[26]
unit.1.0.port.6.s.26.orderindex=-1
unit.1.0.port.6.s.26.visible=1
unit.1.0.port.6.s.27.alias=
unit.1.0.port.6.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.27.name=TriggerPort6[27]
unit.1.0.port.6.s.27.orderindex=-1
unit.1.0.port.6.s.27.visible=1
unit.1.0.port.6.s.28.alias=
unit.1.0.port.6.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.28.name=TriggerPort6[28]
unit.1.0.port.6.s.28.orderindex=-1
unit.1.0.port.6.s.28.visible=1
unit.1.0.port.6.s.29.alias=
unit.1.0.port.6.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.29.name=TriggerPort6[29]
unit.1.0.port.6.s.29.orderindex=-1
unit.1.0.port.6.s.29.visible=1
unit.1.0.port.6.s.3.alias=
unit.1.0.port.6.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.3.name=TriggerPort6[3]
unit.1.0.port.6.s.3.orderindex=-1
unit.1.0.port.6.s.3.visible=1
unit.1.0.port.6.s.30.alias=
unit.1.0.port.6.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.30.name=TriggerPort6[30]
unit.1.0.port.6.s.30.orderindex=-1
unit.1.0.port.6.s.30.visible=1
unit.1.0.port.6.s.31.alias=
unit.1.0.port.6.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.31.name=TriggerPort6[31]
unit.1.0.port.6.s.31.orderindex=-1
unit.1.0.port.6.s.31.visible=1
unit.1.0.port.6.s.4.alias=
unit.1.0.port.6.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.4.name=TriggerPort6[4]
unit.1.0.port.6.s.4.orderindex=-1
unit.1.0.port.6.s.4.visible=1
unit.1.0.port.6.s.5.alias=
unit.1.0.port.6.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.5.name=TriggerPort6[5]
unit.1.0.port.6.s.5.orderindex=-1
unit.1.0.port.6.s.5.visible=1
unit.1.0.port.6.s.6.alias=
unit.1.0.port.6.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.6.name=TriggerPort6[6]
unit.1.0.port.6.s.6.orderindex=-1
unit.1.0.port.6.s.6.visible=1
unit.1.0.port.6.s.7.alias=
unit.1.0.port.6.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.7.name=TriggerPort6[7]
unit.1.0.port.6.s.7.orderindex=-1
unit.1.0.port.6.s.7.visible=1
unit.1.0.port.6.s.8.alias=
unit.1.0.port.6.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.8.name=TriggerPort6[8]
unit.1.0.port.6.s.8.orderindex=-1
unit.1.0.port.6.s.8.visible=1
unit.1.0.port.6.s.9.alias=
unit.1.0.port.6.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.6.s.9.name=TriggerPort6[9]
unit.1.0.port.6.s.9.orderindex=-1
unit.1.0.port.6.s.9.visible=1
unit.1.0.port.7.b.0.alias=
unit.1.0.port.7.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.0.port.7.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.b.0.name=TriggerPort7
unit.1.0.port.7.b.0.orderindex=-1
unit.1.0.port.7.b.0.radix=Hex
unit.1.0.port.7.b.0.signedOffset=0.0
unit.1.0.port.7.b.0.signedPrecision=0
unit.1.0.port.7.b.0.signedScaleFactor=1.0
unit.1.0.port.7.b.0.unsignedOffset=0.0
unit.1.0.port.7.b.0.unsignedPrecision=0
unit.1.0.port.7.b.0.unsignedScaleFactor=1.0
unit.1.0.port.7.b.0.visible=1
unit.1.0.port.7.buscount=1
unit.1.0.port.7.channelcount=32
unit.1.0.port.7.s.0.alias=
unit.1.0.port.7.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.0.name=TriggerPort7[0]
unit.1.0.port.7.s.0.orderindex=-1
unit.1.0.port.7.s.0.visible=1
unit.1.0.port.7.s.1.alias=
unit.1.0.port.7.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.1.name=TriggerPort7[1]
unit.1.0.port.7.s.1.orderindex=-1
unit.1.0.port.7.s.1.visible=1
unit.1.0.port.7.s.10.alias=
unit.1.0.port.7.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.10.name=TriggerPort7[10]
unit.1.0.port.7.s.10.orderindex=-1
unit.1.0.port.7.s.10.visible=1
unit.1.0.port.7.s.11.alias=
unit.1.0.port.7.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.11.name=TriggerPort7[11]
unit.1.0.port.7.s.11.orderindex=-1
unit.1.0.port.7.s.11.visible=1
unit.1.0.port.7.s.12.alias=
unit.1.0.port.7.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.12.name=TriggerPort7[12]
unit.1.0.port.7.s.12.orderindex=-1
unit.1.0.port.7.s.12.visible=1
unit.1.0.port.7.s.13.alias=
unit.1.0.port.7.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.13.name=TriggerPort7[13]
unit.1.0.port.7.s.13.orderindex=-1
unit.1.0.port.7.s.13.visible=1
unit.1.0.port.7.s.14.alias=
unit.1.0.port.7.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.14.name=TriggerPort7[14]
unit.1.0.port.7.s.14.orderindex=-1
unit.1.0.port.7.s.14.visible=1
unit.1.0.port.7.s.15.alias=
unit.1.0.port.7.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.15.name=TriggerPort7[15]
unit.1.0.port.7.s.15.orderindex=-1
unit.1.0.port.7.s.15.visible=1
unit.1.0.port.7.s.16.alias=
unit.1.0.port.7.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.16.name=TriggerPort7[16]
unit.1.0.port.7.s.16.orderindex=-1
unit.1.0.port.7.s.16.visible=1
unit.1.0.port.7.s.17.alias=
unit.1.0.port.7.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.17.name=TriggerPort7[17]
unit.1.0.port.7.s.17.orderindex=-1
unit.1.0.port.7.s.17.visible=1
unit.1.0.port.7.s.18.alias=
unit.1.0.port.7.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.18.name=TriggerPort7[18]
unit.1.0.port.7.s.18.orderindex=-1
unit.1.0.port.7.s.18.visible=1
unit.1.0.port.7.s.19.alias=
unit.1.0.port.7.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.19.name=TriggerPort7[19]
unit.1.0.port.7.s.19.orderindex=-1
unit.1.0.port.7.s.19.visible=1
unit.1.0.port.7.s.2.alias=
unit.1.0.port.7.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.2.name=TriggerPort7[2]
unit.1.0.port.7.s.2.orderindex=-1
unit.1.0.port.7.s.2.visible=1
unit.1.0.port.7.s.20.alias=
unit.1.0.port.7.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.20.name=TriggerPort7[20]
unit.1.0.port.7.s.20.orderindex=-1
unit.1.0.port.7.s.20.visible=1
unit.1.0.port.7.s.21.alias=
unit.1.0.port.7.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.21.name=TriggerPort7[21]
unit.1.0.port.7.s.21.orderindex=-1
unit.1.0.port.7.s.21.visible=1
unit.1.0.port.7.s.22.alias=
unit.1.0.port.7.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.22.name=TriggerPort7[22]
unit.1.0.port.7.s.22.orderindex=-1
unit.1.0.port.7.s.22.visible=1
unit.1.0.port.7.s.23.alias=
unit.1.0.port.7.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.23.name=TriggerPort7[23]
unit.1.0.port.7.s.23.orderindex=-1
unit.1.0.port.7.s.23.visible=1
unit.1.0.port.7.s.24.alias=
unit.1.0.port.7.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.24.name=TriggerPort7[24]
unit.1.0.port.7.s.24.orderindex=-1
unit.1.0.port.7.s.24.visible=1
unit.1.0.port.7.s.25.alias=
unit.1.0.port.7.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.25.name=TriggerPort7[25]
unit.1.0.port.7.s.25.orderindex=-1
unit.1.0.port.7.s.25.visible=1
unit.1.0.port.7.s.26.alias=
unit.1.0.port.7.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.26.name=TriggerPort7[26]
unit.1.0.port.7.s.26.orderindex=-1
unit.1.0.port.7.s.26.visible=1
unit.1.0.port.7.s.27.alias=
unit.1.0.port.7.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.27.name=TriggerPort7[27]
unit.1.0.port.7.s.27.orderindex=-1
unit.1.0.port.7.s.27.visible=1
unit.1.0.port.7.s.28.alias=
unit.1.0.port.7.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.28.name=TriggerPort7[28]
unit.1.0.port.7.s.28.orderindex=-1
unit.1.0.port.7.s.28.visible=1
unit.1.0.port.7.s.29.alias=
unit.1.0.port.7.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.29.name=TriggerPort7[29]
unit.1.0.port.7.s.29.orderindex=-1
unit.1.0.port.7.s.29.visible=1
unit.1.0.port.7.s.3.alias=
unit.1.0.port.7.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.3.name=TriggerPort7[3]
unit.1.0.port.7.s.3.orderindex=-1
unit.1.0.port.7.s.3.visible=1
unit.1.0.port.7.s.30.alias=
unit.1.0.port.7.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.30.name=TriggerPort7[30]
unit.1.0.port.7.s.30.orderindex=-1
unit.1.0.port.7.s.30.visible=1
unit.1.0.port.7.s.31.alias=
unit.1.0.port.7.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.31.name=TriggerPort7[31]
unit.1.0.port.7.s.31.orderindex=-1
unit.1.0.port.7.s.31.visible=1
unit.1.0.port.7.s.4.alias=
unit.1.0.port.7.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.4.name=TriggerPort7[4]
unit.1.0.port.7.s.4.orderindex=-1
unit.1.0.port.7.s.4.visible=1
unit.1.0.port.7.s.5.alias=
unit.1.0.port.7.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.5.name=TriggerPort7[5]
unit.1.0.port.7.s.5.orderindex=-1
unit.1.0.port.7.s.5.visible=1
unit.1.0.port.7.s.6.alias=
unit.1.0.port.7.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.6.name=TriggerPort7[6]
unit.1.0.port.7.s.6.orderindex=-1
unit.1.0.port.7.s.6.visible=1
unit.1.0.port.7.s.7.alias=
unit.1.0.port.7.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.7.name=TriggerPort7[7]
unit.1.0.port.7.s.7.orderindex=-1
unit.1.0.port.7.s.7.visible=1
unit.1.0.port.7.s.8.alias=
unit.1.0.port.7.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.8.name=TriggerPort7[8]
unit.1.0.port.7.s.8.orderindex=-1
unit.1.0.port.7.s.8.visible=1
unit.1.0.port.7.s.9.alias=
unit.1.0.port.7.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.0.port.7.s.9.name=TriggerPort7[9]
unit.1.0.port.7.s.9.orderindex=-1
unit.1.0.port.7.s.9.visible=1
unit.1.0.portcount=8
unit.1.0.rep_trigger.clobber=1
unit.1.0.rep_trigger.dir=/home/aamendon/reports/phdtheses/aamendon/code/2010/sata_core/VERSION4/base_linux_nfs_fis_retry_REG_XRDY/chipscope
unit.1.0.rep_trigger.filename=waveform
unit.1.0.rep_trigger.format=ASCII
unit.1.0.rep_trigger.loggingEnabled=0
unit.1.0.rep_trigger.signals=All Signals/Buses
unit.1.0.samplesPerTrigger=1
unit.1.0.triggerCapture=1
unit.1.0.triggerNSamplesTS=0
unit.1.0.triggerPosition=0
unit.1.0.triggerWindowCount=1
unit.1.0.triggerWindowDepth=1024
unit.1.0.triggerWindowTS=0
unit.1.0.username=OOB
unit.1.0.waveform.count=164
unit.1.0.waveform.posn.0.channel=0
unit.1.0.waveform.posn.0.name=DataPort[0]
unit.1.0.waveform.posn.0.type=signal
unit.1.0.waveform.posn.1.channel=1
unit.1.0.waveform.posn.1.name=DataPort[1]
unit.1.0.waveform.posn.1.type=signal
unit.1.0.waveform.posn.10.channel=10
unit.1.0.waveform.posn.10.name=DataPort[10]
unit.1.0.waveform.posn.10.type=signal
unit.1.0.waveform.posn.100.channel=100
unit.1.0.waveform.posn.100.name=DataPort[100]
unit.1.0.waveform.posn.100.type=signal
unit.1.0.waveform.posn.101.channel=101
unit.1.0.waveform.posn.101.name=DataPort[101]
unit.1.0.waveform.posn.101.type=signal
unit.1.0.waveform.posn.102.channel=102
unit.1.0.waveform.posn.102.name=DataPort[102]
unit.1.0.waveform.posn.102.type=signal
unit.1.0.waveform.posn.103.channel=103
unit.1.0.waveform.posn.103.name=DataPort[103]
unit.1.0.waveform.posn.103.type=signal
unit.1.0.waveform.posn.104.channel=104
unit.1.0.waveform.posn.104.name=DataPort[104]
unit.1.0.waveform.posn.104.type=signal
unit.1.0.waveform.posn.105.channel=105
unit.1.0.waveform.posn.105.name=DataPort[105]
unit.1.0.waveform.posn.105.type=signal
unit.1.0.waveform.posn.106.channel=106
unit.1.0.waveform.posn.106.name=DataPort[106]
unit.1.0.waveform.posn.106.type=signal
unit.1.0.waveform.posn.107.channel=107
unit.1.0.waveform.posn.107.name=DataPort[107]
unit.1.0.waveform.posn.107.type=signal
unit.1.0.waveform.posn.108.channel=108
unit.1.0.waveform.posn.108.name=DataPort[108]
unit.1.0.waveform.posn.108.type=signal
unit.1.0.waveform.posn.109.channel=109
unit.1.0.waveform.posn.109.name=DataPort[109]
unit.1.0.waveform.posn.109.type=signal
unit.1.0.waveform.posn.11.channel=11
unit.1.0.waveform.posn.11.name=DataPort[11]
unit.1.0.waveform.posn.11.type=signal
unit.1.0.waveform.posn.110.channel=110
unit.1.0.waveform.posn.110.name=DataPort[110]
unit.1.0.waveform.posn.110.type=signal
unit.1.0.waveform.posn.111.channel=111
unit.1.0.waveform.posn.111.name=DataPort[111]
unit.1.0.waveform.posn.111.type=signal
unit.1.0.waveform.posn.112.channel=112
unit.1.0.waveform.posn.112.name=DataPort[112]
unit.1.0.waveform.posn.112.type=signal
unit.1.0.waveform.posn.113.channel=113
unit.1.0.waveform.posn.113.name=DataPort[113]
unit.1.0.waveform.posn.113.type=signal
unit.1.0.waveform.posn.114.channel=114
unit.1.0.waveform.posn.114.name=DataPort[114]
unit.1.0.waveform.posn.114.type=signal
unit.1.0.waveform.posn.115.channel=115
unit.1.0.waveform.posn.115.name=DataPort[115]
unit.1.0.waveform.posn.115.type=signal
unit.1.0.waveform.posn.116.channel=116
unit.1.0.waveform.posn.116.name=DataPort[116]
unit.1.0.waveform.posn.116.type=signal
unit.1.0.waveform.posn.117.channel=117
unit.1.0.waveform.posn.117.name=DataPort[117]
unit.1.0.waveform.posn.117.type=signal
unit.1.0.waveform.posn.118.channel=118
unit.1.0.waveform.posn.118.name=DataPort[118]
unit.1.0.waveform.posn.118.type=signal
unit.1.0.waveform.posn.119.channel=119
unit.1.0.waveform.posn.119.name=DataPort[119]
unit.1.0.waveform.posn.119.type=signal
unit.1.0.waveform.posn.12.channel=12
unit.1.0.waveform.posn.12.name=DataPort[12]
unit.1.0.waveform.posn.12.type=signal
unit.1.0.waveform.posn.120.channel=120
unit.1.0.waveform.posn.120.name=DataPort[120]
unit.1.0.waveform.posn.120.type=signal
unit.1.0.waveform.posn.121.channel=121
unit.1.0.waveform.posn.121.name=DataPort[121]
unit.1.0.waveform.posn.121.type=signal
unit.1.0.waveform.posn.122.channel=122
unit.1.0.waveform.posn.122.name=DataPort[122]
unit.1.0.waveform.posn.122.type=signal
unit.1.0.waveform.posn.123.channel=123
unit.1.0.waveform.posn.123.name=DataPort[123]
unit.1.0.waveform.posn.123.type=signal
unit.1.0.waveform.posn.124.channel=124
unit.1.0.waveform.posn.124.name=DataPort[124]
unit.1.0.waveform.posn.124.type=signal
unit.1.0.waveform.posn.125.channel=125
unit.1.0.waveform.posn.125.name=DataPort[125]
unit.1.0.waveform.posn.125.type=signal
unit.1.0.waveform.posn.126.channel=126
unit.1.0.waveform.posn.126.name=DataPort[126]
unit.1.0.waveform.posn.126.type=signal
unit.1.0.waveform.posn.127.channel=127
unit.1.0.waveform.posn.127.name=DataPort[127]
unit.1.0.waveform.posn.127.type=signal
unit.1.0.waveform.posn.128.channel=128
unit.1.0.waveform.posn.128.name=DataPort[128]
unit.1.0.waveform.posn.128.type=signal
unit.1.0.waveform.posn.129.channel=129
unit.1.0.waveform.posn.129.name=DataPort[129]
unit.1.0.waveform.posn.129.type=signal
unit.1.0.waveform.posn.13.channel=13
unit.1.0.waveform.posn.13.name=DataPort[13]
unit.1.0.waveform.posn.13.type=signal
unit.1.0.waveform.posn.130.channel=130
unit.1.0.waveform.posn.130.name=DataPort[130]
unit.1.0.waveform.posn.130.type=signal
unit.1.0.waveform.posn.131.channel=131
unit.1.0.waveform.posn.131.name=DataPort[131]
unit.1.0.waveform.posn.131.type=signal
unit.1.0.waveform.posn.132.channel=132
unit.1.0.waveform.posn.132.name=DataPort[132]
unit.1.0.waveform.posn.132.type=signal
unit.1.0.waveform.posn.133.channel=133
unit.1.0.waveform.posn.133.name=DataPort[133]
unit.1.0.waveform.posn.133.type=signal
unit.1.0.waveform.posn.134.channel=134
unit.1.0.waveform.posn.134.name=DataPort[134]
unit.1.0.waveform.posn.134.type=signal
unit.1.0.waveform.posn.135.channel=135
unit.1.0.waveform.posn.135.name=DataPort[135]
unit.1.0.waveform.posn.135.type=signal
unit.1.0.waveform.posn.136.channel=136
unit.1.0.waveform.posn.136.name=DataPort[136]
unit.1.0.waveform.posn.136.type=signal
unit.1.0.waveform.posn.137.channel=137
unit.1.0.waveform.posn.137.name=DataPort[137]
unit.1.0.waveform.posn.137.type=signal
unit.1.0.waveform.posn.138.channel=138
unit.1.0.waveform.posn.138.name=DataPort[138]
unit.1.0.waveform.posn.138.type=signal
unit.1.0.waveform.posn.139.channel=139
unit.1.0.waveform.posn.139.name=DataPort[139]
unit.1.0.waveform.posn.139.type=signal
unit.1.0.waveform.posn.14.channel=14
unit.1.0.waveform.posn.14.name=DataPort[14]
unit.1.0.waveform.posn.14.type=signal
unit.1.0.waveform.posn.140.channel=140
unit.1.0.waveform.posn.140.name=DataPort[140]
unit.1.0.waveform.posn.140.type=signal
unit.1.0.waveform.posn.141.channel=141
unit.1.0.waveform.posn.141.name=DataPort[141]
unit.1.0.waveform.posn.141.type=signal
unit.1.0.waveform.posn.142.channel=142
unit.1.0.waveform.posn.142.name=DataPort[142]
unit.1.0.waveform.posn.142.type=signal
unit.1.0.waveform.posn.143.channel=143
unit.1.0.waveform.posn.143.name=DataPort[143]
unit.1.0.waveform.posn.143.type=signal
unit.1.0.waveform.posn.144.channel=144
unit.1.0.waveform.posn.144.name=DataPort[144]
unit.1.0.waveform.posn.144.type=signal
unit.1.0.waveform.posn.145.channel=145
unit.1.0.waveform.posn.145.name=DataPort[145]
unit.1.0.waveform.posn.145.type=signal
unit.1.0.waveform.posn.146.channel=146
unit.1.0.waveform.posn.146.name=DataPort[146]
unit.1.0.waveform.posn.146.type=signal
unit.1.0.waveform.posn.147.channel=147
unit.1.0.waveform.posn.147.name=DataPort[147]
unit.1.0.waveform.posn.147.type=signal
unit.1.0.waveform.posn.148.channel=148
unit.1.0.waveform.posn.148.name=DataPort[148]
unit.1.0.waveform.posn.148.type=signal
unit.1.0.waveform.posn.149.channel=149
unit.1.0.waveform.posn.149.name=DataPort[149]
unit.1.0.waveform.posn.149.type=signal
unit.1.0.waveform.posn.15.channel=15
unit.1.0.waveform.posn.15.name=DataPort[15]
unit.1.0.waveform.posn.15.type=signal
unit.1.0.waveform.posn.150.channel=150
unit.1.0.waveform.posn.150.name=DataPort[150]
unit.1.0.waveform.posn.150.type=signal
unit.1.0.waveform.posn.151.channel=151
unit.1.0.waveform.posn.151.name=DataPort[151]
unit.1.0.waveform.posn.151.type=signal
unit.1.0.waveform.posn.152.channel=152
unit.1.0.waveform.posn.152.name=DataPort[152]
unit.1.0.waveform.posn.152.type=signal
unit.1.0.waveform.posn.153.channel=153
unit.1.0.waveform.posn.153.name=DataPort[153]
unit.1.0.waveform.posn.153.type=signal
unit.1.0.waveform.posn.154.channel=154
unit.1.0.waveform.posn.154.name=DataPort[154]
unit.1.0.waveform.posn.154.type=signal
unit.1.0.waveform.posn.155.channel=155
unit.1.0.waveform.posn.155.name=DataPort[155]
unit.1.0.waveform.posn.155.type=signal
unit.1.0.waveform.posn.156.channel=156
unit.1.0.waveform.posn.156.name=DataPort[156]
unit.1.0.waveform.posn.156.type=signal
unit.1.0.waveform.posn.157.channel=157
unit.1.0.waveform.posn.157.name=DataPort[157]
unit.1.0.waveform.posn.157.type=signal
unit.1.0.waveform.posn.158.channel=158
unit.1.0.waveform.posn.158.name=DataPort[158]
unit.1.0.waveform.posn.158.type=signal
unit.1.0.waveform.posn.159.channel=159
unit.1.0.waveform.posn.159.name=DataPort[159]
unit.1.0.waveform.posn.159.type=signal
unit.1.0.waveform.posn.16.channel=16
unit.1.0.waveform.posn.16.name=DataPort[16]
unit.1.0.waveform.posn.16.type=signal
unit.1.0.waveform.posn.160.channel=160
unit.1.0.waveform.posn.160.name=DataPort[160]
unit.1.0.waveform.posn.160.type=signal
unit.1.0.waveform.posn.161.channel=161
unit.1.0.waveform.posn.161.name=DataPort[161]
unit.1.0.waveform.posn.161.type=signal
unit.1.0.waveform.posn.162.channel=162
unit.1.0.waveform.posn.162.name=DataPort[162]
unit.1.0.waveform.posn.162.type=signal
unit.1.0.waveform.posn.163.channel=163
unit.1.0.waveform.posn.163.name=DataPort[163]
unit.1.0.waveform.posn.163.type=signal
unit.1.0.waveform.posn.17.channel=17
unit.1.0.waveform.posn.17.name=DataPort[17]
unit.1.0.waveform.posn.17.type=signal
unit.1.0.waveform.posn.18.channel=18
unit.1.0.waveform.posn.18.name=DataPort[18]
unit.1.0.waveform.posn.18.type=signal
unit.1.0.waveform.posn.19.channel=19
unit.1.0.waveform.posn.19.name=DataPort[19]
unit.1.0.waveform.posn.19.type=signal
unit.1.0.waveform.posn.2.channel=2
unit.1.0.waveform.posn.2.name=DataPort[2]
unit.1.0.waveform.posn.2.type=signal
unit.1.0.waveform.posn.20.channel=20
unit.1.0.waveform.posn.20.name=DataPort[20]
unit.1.0.waveform.posn.20.type=signal
unit.1.0.waveform.posn.21.channel=21
unit.1.0.waveform.posn.21.name=DataPort[21]
unit.1.0.waveform.posn.21.type=signal
unit.1.0.waveform.posn.22.channel=22
unit.1.0.waveform.posn.22.name=DataPort[22]
unit.1.0.waveform.posn.22.type=signal
unit.1.0.waveform.posn.23.channel=23
unit.1.0.waveform.posn.23.name=DataPort[23]
unit.1.0.waveform.posn.23.type=signal
unit.1.0.waveform.posn.24.channel=24
unit.1.0.waveform.posn.24.name=DataPort[24]
unit.1.0.waveform.posn.24.type=signal
unit.1.0.waveform.posn.25.channel=25
unit.1.0.waveform.posn.25.name=DataPort[25]
unit.1.0.waveform.posn.25.type=signal
unit.1.0.waveform.posn.26.channel=26
unit.1.0.waveform.posn.26.name=DataPort[26]
unit.1.0.waveform.posn.26.type=signal
unit.1.0.waveform.posn.27.channel=27
unit.1.0.waveform.posn.27.name=DataPort[27]
unit.1.0.waveform.posn.27.type=signal
unit.1.0.waveform.posn.28.channel=28
unit.1.0.waveform.posn.28.name=DataPort[28]
unit.1.0.waveform.posn.28.type=signal
unit.1.0.waveform.posn.29.channel=29
unit.1.0.waveform.posn.29.name=DataPort[29]
unit.1.0.waveform.posn.29.type=signal
unit.1.0.waveform.posn.3.channel=3
unit.1.0.waveform.posn.3.name=DataPort[3]
unit.1.0.waveform.posn.3.type=signal
unit.1.0.waveform.posn.30.channel=30
unit.1.0.waveform.posn.30.name=DataPort[30]
unit.1.0.waveform.posn.30.type=signal
unit.1.0.waveform.posn.31.channel=31
unit.1.0.waveform.posn.31.name=DataPort[31]
unit.1.0.waveform.posn.31.type=signal
unit.1.0.waveform.posn.32.channel=32
unit.1.0.waveform.posn.32.name=DataPort[32]
unit.1.0.waveform.posn.32.type=signal
unit.1.0.waveform.posn.33.channel=33
unit.1.0.waveform.posn.33.name=DataPort[33]
unit.1.0.waveform.posn.33.type=signal
unit.1.0.waveform.posn.34.channel=34
unit.1.0.waveform.posn.34.name=DataPort[34]
unit.1.0.waveform.posn.34.type=signal
unit.1.0.waveform.posn.35.channel=35
unit.1.0.waveform.posn.35.name=DataPort[35]
unit.1.0.waveform.posn.35.type=signal
unit.1.0.waveform.posn.36.channel=36
unit.1.0.waveform.posn.36.name=DataPort[36]
unit.1.0.waveform.posn.36.type=signal
unit.1.0.waveform.posn.37.channel=37
unit.1.0.waveform.posn.37.name=DataPort[37]
unit.1.0.waveform.posn.37.type=signal
unit.1.0.waveform.posn.38.channel=38
unit.1.0.waveform.posn.38.name=DataPort[38]
unit.1.0.waveform.posn.38.type=signal
unit.1.0.waveform.posn.39.channel=39
unit.1.0.waveform.posn.39.name=DataPort[39]
unit.1.0.waveform.posn.39.type=signal
unit.1.0.waveform.posn.4.channel=4
unit.1.0.waveform.posn.4.name=DataPort[4]
unit.1.0.waveform.posn.4.type=signal
unit.1.0.waveform.posn.40.channel=40
unit.1.0.waveform.posn.40.name=DataPort[40]
unit.1.0.waveform.posn.40.type=signal
unit.1.0.waveform.posn.41.channel=41
unit.1.0.waveform.posn.41.name=DataPort[41]
unit.1.0.waveform.posn.41.type=signal
unit.1.0.waveform.posn.42.channel=42
unit.1.0.waveform.posn.42.name=DataPort[42]
unit.1.0.waveform.posn.42.type=signal
unit.1.0.waveform.posn.43.channel=43
unit.1.0.waveform.posn.43.name=DataPort[43]
unit.1.0.waveform.posn.43.type=signal
unit.1.0.waveform.posn.44.channel=44
unit.1.0.waveform.posn.44.name=DataPort[44]
unit.1.0.waveform.posn.44.type=signal
unit.1.0.waveform.posn.45.channel=45
unit.1.0.waveform.posn.45.name=DataPort[45]
unit.1.0.waveform.posn.45.type=signal
unit.1.0.waveform.posn.46.channel=46
unit.1.0.waveform.posn.46.name=DataPort[46]
unit.1.0.waveform.posn.46.type=signal
unit.1.0.waveform.posn.47.channel=47
unit.1.0.waveform.posn.47.name=DataPort[47]
unit.1.0.waveform.posn.47.type=signal
unit.1.0.waveform.posn.48.channel=48
unit.1.0.waveform.posn.48.name=DataPort[48]
unit.1.0.waveform.posn.48.type=signal
unit.1.0.waveform.posn.49.channel=49
unit.1.0.waveform.posn.49.name=DataPort[49]
unit.1.0.waveform.posn.49.type=signal
unit.1.0.waveform.posn.5.channel=5
unit.1.0.waveform.posn.5.name=DataPort[5]
unit.1.0.waveform.posn.5.type=signal
unit.1.0.waveform.posn.50.channel=50
unit.1.0.waveform.posn.50.name=DataPort[50]
unit.1.0.waveform.posn.50.type=signal
unit.1.0.waveform.posn.51.channel=51
unit.1.0.waveform.posn.51.name=DataPort[51]
unit.1.0.waveform.posn.51.type=signal
unit.1.0.waveform.posn.52.channel=52
unit.1.0.waveform.posn.52.name=DataPort[52]
unit.1.0.waveform.posn.52.type=signal
unit.1.0.waveform.posn.53.channel=53
unit.1.0.waveform.posn.53.name=DataPort[53]
unit.1.0.waveform.posn.53.type=signal
unit.1.0.waveform.posn.54.channel=54
unit.1.0.waveform.posn.54.name=DataPort[54]
unit.1.0.waveform.posn.54.type=signal
unit.1.0.waveform.posn.55.channel=55
unit.1.0.waveform.posn.55.name=DataPort[55]
unit.1.0.waveform.posn.55.type=signal
unit.1.0.waveform.posn.56.channel=56
unit.1.0.waveform.posn.56.name=DataPort[56]
unit.1.0.waveform.posn.56.type=signal
unit.1.0.waveform.posn.57.channel=57
unit.1.0.waveform.posn.57.name=DataPort[57]
unit.1.0.waveform.posn.57.type=signal
unit.1.0.waveform.posn.58.channel=58
unit.1.0.waveform.posn.58.name=DataPort[58]
unit.1.0.waveform.posn.58.type=signal
unit.1.0.waveform.posn.59.channel=59
unit.1.0.waveform.posn.59.name=DataPort[59]
unit.1.0.waveform.posn.59.type=signal
unit.1.0.waveform.posn.6.channel=6
unit.1.0.waveform.posn.6.name=DataPort[6]
unit.1.0.waveform.posn.6.type=signal
unit.1.0.waveform.posn.60.channel=60
unit.1.0.waveform.posn.60.name=DataPort[60]
unit.1.0.waveform.posn.60.type=signal
unit.1.0.waveform.posn.61.channel=61
unit.1.0.waveform.posn.61.name=DataPort[61]
unit.1.0.waveform.posn.61.type=signal
unit.1.0.waveform.posn.62.channel=62
unit.1.0.waveform.posn.62.name=DataPort[62]
unit.1.0.waveform.posn.62.type=signal
unit.1.0.waveform.posn.63.channel=63
unit.1.0.waveform.posn.63.name=DataPort[63]
unit.1.0.waveform.posn.63.type=signal
unit.1.0.waveform.posn.64.channel=64
unit.1.0.waveform.posn.64.name=DataPort[64]
unit.1.0.waveform.posn.64.type=signal
unit.1.0.waveform.posn.65.channel=65
unit.1.0.waveform.posn.65.name=DataPort[65]
unit.1.0.waveform.posn.65.type=signal
unit.1.0.waveform.posn.66.channel=66
unit.1.0.waveform.posn.66.name=DataPort[66]
unit.1.0.waveform.posn.66.type=signal
unit.1.0.waveform.posn.67.channel=67
unit.1.0.waveform.posn.67.name=DataPort[67]
unit.1.0.waveform.posn.67.type=signal
unit.1.0.waveform.posn.68.channel=68
unit.1.0.waveform.posn.68.name=DataPort[68]
unit.1.0.waveform.posn.68.type=signal
unit.1.0.waveform.posn.69.channel=69
unit.1.0.waveform.posn.69.name=DataPort[69]
unit.1.0.waveform.posn.69.type=signal
unit.1.0.waveform.posn.7.channel=7
unit.1.0.waveform.posn.7.name=DataPort[7]
unit.1.0.waveform.posn.7.type=signal
unit.1.0.waveform.posn.70.channel=70
unit.1.0.waveform.posn.70.name=DataPort[70]
unit.1.0.waveform.posn.70.type=signal
unit.1.0.waveform.posn.71.channel=71
unit.1.0.waveform.posn.71.name=DataPort[71]
unit.1.0.waveform.posn.71.type=signal
unit.1.0.waveform.posn.72.channel=72
unit.1.0.waveform.posn.72.name=DataPort[72]
unit.1.0.waveform.posn.72.type=signal
unit.1.0.waveform.posn.73.channel=73
unit.1.0.waveform.posn.73.name=DataPort[73]
unit.1.0.waveform.posn.73.type=signal
unit.1.0.waveform.posn.74.channel=74
unit.1.0.waveform.posn.74.name=DataPort[74]
unit.1.0.waveform.posn.74.type=signal
unit.1.0.waveform.posn.75.channel=75
unit.1.0.waveform.posn.75.name=DataPort[75]
unit.1.0.waveform.posn.75.type=signal
unit.1.0.waveform.posn.76.channel=76
unit.1.0.waveform.posn.76.name=DataPort[76]
unit.1.0.waveform.posn.76.type=signal
unit.1.0.waveform.posn.77.channel=77
unit.1.0.waveform.posn.77.name=DataPort[77]
unit.1.0.waveform.posn.77.type=signal
unit.1.0.waveform.posn.78.channel=78
unit.1.0.waveform.posn.78.name=DataPort[78]
unit.1.0.waveform.posn.78.type=signal
unit.1.0.waveform.posn.79.channel=79
unit.1.0.waveform.posn.79.name=DataPort[79]
unit.1.0.waveform.posn.79.type=signal
unit.1.0.waveform.posn.8.channel=8
unit.1.0.waveform.posn.8.name=DataPort[8]
unit.1.0.waveform.posn.8.type=signal
unit.1.0.waveform.posn.80.channel=80
unit.1.0.waveform.posn.80.name=DataPort[80]
unit.1.0.waveform.posn.80.type=signal
unit.1.0.waveform.posn.81.channel=81
unit.1.0.waveform.posn.81.name=DataPort[81]
unit.1.0.waveform.posn.81.type=signal
unit.1.0.waveform.posn.82.channel=82
unit.1.0.waveform.posn.82.name=DataPort[82]
unit.1.0.waveform.posn.82.type=signal
unit.1.0.waveform.posn.83.channel=83
unit.1.0.waveform.posn.83.name=DataPort[83]
unit.1.0.waveform.posn.83.type=signal
unit.1.0.waveform.posn.84.channel=84
unit.1.0.waveform.posn.84.name=DataPort[84]
unit.1.0.waveform.posn.84.type=signal
unit.1.0.waveform.posn.85.channel=85
unit.1.0.waveform.posn.85.name=DataPort[85]
unit.1.0.waveform.posn.85.type=signal
unit.1.0.waveform.posn.86.channel=86
unit.1.0.waveform.posn.86.name=DataPort[86]
unit.1.0.waveform.posn.86.type=signal
unit.1.0.waveform.posn.87.channel=87
unit.1.0.waveform.posn.87.name=DataPort[87]
unit.1.0.waveform.posn.87.type=signal
unit.1.0.waveform.posn.88.channel=88
unit.1.0.waveform.posn.88.name=DataPort[88]
unit.1.0.waveform.posn.88.type=signal
unit.1.0.waveform.posn.89.channel=89
unit.1.0.waveform.posn.89.name=DataPort[89]
unit.1.0.waveform.posn.89.type=signal
unit.1.0.waveform.posn.9.channel=9
unit.1.0.waveform.posn.9.name=DataPort[9]
unit.1.0.waveform.posn.9.type=signal
unit.1.0.waveform.posn.90.channel=90
unit.1.0.waveform.posn.90.name=DataPort[90]
unit.1.0.waveform.posn.90.type=signal
unit.1.0.waveform.posn.91.channel=91
unit.1.0.waveform.posn.91.name=DataPort[91]
unit.1.0.waveform.posn.91.type=signal
unit.1.0.waveform.posn.92.channel=92
unit.1.0.waveform.posn.92.name=DataPort[92]
unit.1.0.waveform.posn.92.type=signal
unit.1.0.waveform.posn.93.channel=93
unit.1.0.waveform.posn.93.name=DataPort[93]
unit.1.0.waveform.posn.93.type=signal
unit.1.0.waveform.posn.94.channel=94
unit.1.0.waveform.posn.94.name=DataPort[94]
unit.1.0.waveform.posn.94.type=signal
unit.1.0.waveform.posn.95.channel=95
unit.1.0.waveform.posn.95.name=DataPort[95]
unit.1.0.waveform.posn.95.type=signal
unit.1.0.waveform.posn.96.channel=96
unit.1.0.waveform.posn.96.name=DataPort[96]
unit.1.0.waveform.posn.96.type=signal
unit.1.0.waveform.posn.97.channel=97
unit.1.0.waveform.posn.97.name=DataPort[97]
unit.1.0.waveform.posn.97.type=signal
unit.1.0.waveform.posn.98.channel=98
unit.1.0.waveform.posn.98.name=DataPort[98]
unit.1.0.waveform.posn.98.type=signal
unit.1.0.waveform.posn.99.channel=99
unit.1.0.waveform.posn.99.name=DataPort[99]
unit.1.0.waveform.posn.99.type=signal
unit.1.1.MFBitsA0=XXXXXXXX
unit.1.1.MFBitsA1=XXXXXXXXXXXXXXXX
unit.1.1.MFBitsA10=XXXXXXXXXXXXXXXX
unit.1.1.MFBitsA2=XX
unit.1.1.MFBitsA3=XXXXXXXXXXXXXXXX
unit.1.1.MFBitsA4=XXXXXXXXXXXXXXXX
unit.1.1.MFBitsA5=XXXXXXXXXXXXXXXX
unit.1.1.MFBitsA6=XXXXXXXXXXXXXXXX
unit.1.1.MFBitsA7=XXXXXXXXXXXXXXXX
unit.1.1.MFBitsA8=XXXXXXXXXXXXXXXX
unit.1.1.MFBitsA9=XXXXXXXXXXXXXXXX
unit.1.1.MFBitsB0=00000000
unit.1.1.MFBitsB1=0000000000000000
unit.1.1.MFBitsB10=0000000000000000
unit.1.1.MFBitsB2=00
unit.1.1.MFBitsB3=0000000000000000
unit.1.1.MFBitsB4=0000000000000000
unit.1.1.MFBitsB5=0000000000000000
unit.1.1.MFBitsB6=0000000000000000
unit.1.1.MFBitsB7=0000000000000000
unit.1.1.MFBitsB8=0000000000000000
unit.1.1.MFBitsB9=0000000000000000
unit.1.1.MFCompareA0=0
unit.1.1.MFCompareA1=0
unit.1.1.MFCompareA10=0
unit.1.1.MFCompareA2=0
unit.1.1.MFCompareA3=0
unit.1.1.MFCompareA4=0
unit.1.1.MFCompareA5=0
unit.1.1.MFCompareA6=0
unit.1.1.MFCompareA7=0
unit.1.1.MFCompareA8=0
unit.1.1.MFCompareA9=0
unit.1.1.MFCompareB0=999
unit.1.1.MFCompareB1=999
unit.1.1.MFCompareB10=999
unit.1.1.MFCompareB2=999
unit.1.1.MFCompareB3=999
unit.1.1.MFCompareB4=999
unit.1.1.MFCompareB5=999
unit.1.1.MFCompareB6=999
unit.1.1.MFCompareB7=999
unit.1.1.MFCompareB8=999
unit.1.1.MFCompareB9=999
unit.1.1.MFCount=11
unit.1.1.MFDisplay0=0
unit.1.1.MFDisplay1=0
unit.1.1.MFDisplay10=0
unit.1.1.MFDisplay2=0
unit.1.1.MFDisplay3=0
unit.1.1.MFDisplay4=0
unit.1.1.MFDisplay5=0
unit.1.1.MFDisplay6=0
unit.1.1.MFDisplay7=0
unit.1.1.MFDisplay8=0
unit.1.1.MFDisplay9=0
unit.1.1.MFEventType0=3
unit.1.1.MFEventType1=3
unit.1.1.MFEventType10=3
unit.1.1.MFEventType2=3
unit.1.1.MFEventType3=3
unit.1.1.MFEventType4=3
unit.1.1.MFEventType5=3
unit.1.1.MFEventType6=3
unit.1.1.MFEventType7=3
unit.1.1.MFEventType8=3
unit.1.1.MFEventType9=3
unit.1.1.RunMode=SINGLE RUN
unit.1.1.SQCondition=All Data
unit.1.1.SQContiguous0=0
unit.1.1.SequencerOn=0
unit.1.1.TCActive=0
unit.1.1.TCAdvanced0=0
unit.1.1.TCCondition0_0=M0
unit.1.1.TCCondition0_1=
unit.1.1.TCConditionType0=0
unit.1.1.TCCount=1
unit.1.1.TCEventCount0=1
unit.1.1.TCEventType0=3
unit.1.1.TCName0=TriggerCondition0
unit.1.1.TCOutputEnable0=0
unit.1.1.TCOutputHigh0=1
unit.1.1.TCOutputMode0=0
unit.1.1.coretype=ILA
unit.1.1.eventCount0=1
unit.1.1.eventCount1=1
unit.1.1.eventCount10=1
unit.1.1.eventCount2=1
unit.1.1.eventCount3=1
unit.1.1.eventCount4=1
unit.1.1.eventCount5=1
unit.1.1.eventCount6=1
unit.1.1.eventCount7=1
unit.1.1.eventCount8=1
unit.1.1.eventCount9=1
unit.1.1.port.-1.buscount=0
unit.1.1.port.-1.channelcount=154
unit.1.1.port.-1.s.0.alias=
unit.1.1.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.0.name=DataPort[0]
unit.1.1.port.-1.s.0.orderindex=-1
unit.1.1.port.-1.s.0.visible=1
unit.1.1.port.-1.s.1.alias=
unit.1.1.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.1.name=DataPort[1]
unit.1.1.port.-1.s.1.orderindex=-1
unit.1.1.port.-1.s.1.visible=1
unit.1.1.port.-1.s.10.alias=
unit.1.1.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.10.name=DataPort[10]
unit.1.1.port.-1.s.10.orderindex=-1
unit.1.1.port.-1.s.10.visible=1
unit.1.1.port.-1.s.100.alias=
unit.1.1.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.100.name=DataPort[100]
unit.1.1.port.-1.s.100.orderindex=-1
unit.1.1.port.-1.s.100.visible=1
unit.1.1.port.-1.s.101.alias=
unit.1.1.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.101.name=DataPort[101]
unit.1.1.port.-1.s.101.orderindex=-1
unit.1.1.port.-1.s.101.visible=1
unit.1.1.port.-1.s.102.alias=
unit.1.1.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.102.name=DataPort[102]
unit.1.1.port.-1.s.102.orderindex=-1
unit.1.1.port.-1.s.102.visible=1
unit.1.1.port.-1.s.103.alias=
unit.1.1.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.103.name=DataPort[103]
unit.1.1.port.-1.s.103.orderindex=-1
unit.1.1.port.-1.s.103.visible=1
unit.1.1.port.-1.s.104.alias=
unit.1.1.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.104.name=DataPort[104]
unit.1.1.port.-1.s.104.orderindex=-1
unit.1.1.port.-1.s.104.visible=1
unit.1.1.port.-1.s.105.alias=
unit.1.1.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.105.name=DataPort[105]
unit.1.1.port.-1.s.105.orderindex=-1
unit.1.1.port.-1.s.105.visible=1
unit.1.1.port.-1.s.106.alias=
unit.1.1.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.106.name=DataPort[106]
unit.1.1.port.-1.s.106.orderindex=-1
unit.1.1.port.-1.s.106.visible=1
unit.1.1.port.-1.s.107.alias=
unit.1.1.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.107.name=DataPort[107]
unit.1.1.port.-1.s.107.orderindex=-1
unit.1.1.port.-1.s.107.visible=1
unit.1.1.port.-1.s.108.alias=
unit.1.1.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.108.name=DataPort[108]
unit.1.1.port.-1.s.108.orderindex=-1
unit.1.1.port.-1.s.108.visible=1
unit.1.1.port.-1.s.109.alias=
unit.1.1.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.109.name=DataPort[109]
unit.1.1.port.-1.s.109.orderindex=-1
unit.1.1.port.-1.s.109.visible=1
unit.1.1.port.-1.s.11.alias=
unit.1.1.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.11.name=DataPort[11]
unit.1.1.port.-1.s.11.orderindex=-1
unit.1.1.port.-1.s.11.visible=1
unit.1.1.port.-1.s.110.alias=
unit.1.1.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.110.name=DataPort[110]
unit.1.1.port.-1.s.110.orderindex=-1
unit.1.1.port.-1.s.110.visible=1
unit.1.1.port.-1.s.111.alias=
unit.1.1.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.111.name=DataPort[111]
unit.1.1.port.-1.s.111.orderindex=-1
unit.1.1.port.-1.s.111.visible=1
unit.1.1.port.-1.s.112.alias=
unit.1.1.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.112.name=DataPort[112]
unit.1.1.port.-1.s.112.orderindex=-1
unit.1.1.port.-1.s.112.visible=1
unit.1.1.port.-1.s.113.alias=
unit.1.1.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.113.name=DataPort[113]
unit.1.1.port.-1.s.113.orderindex=-1
unit.1.1.port.-1.s.113.visible=1
unit.1.1.port.-1.s.114.alias=
unit.1.1.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.114.name=DataPort[114]
unit.1.1.port.-1.s.114.orderindex=-1
unit.1.1.port.-1.s.114.visible=1
unit.1.1.port.-1.s.115.alias=
unit.1.1.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.115.name=DataPort[115]
unit.1.1.port.-1.s.115.orderindex=-1
unit.1.1.port.-1.s.115.visible=1
unit.1.1.port.-1.s.116.alias=
unit.1.1.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.116.name=DataPort[116]
unit.1.1.port.-1.s.116.orderindex=-1
unit.1.1.port.-1.s.116.visible=1
unit.1.1.port.-1.s.117.alias=
unit.1.1.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.117.name=DataPort[117]
unit.1.1.port.-1.s.117.orderindex=-1
unit.1.1.port.-1.s.117.visible=1
unit.1.1.port.-1.s.118.alias=
unit.1.1.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.118.name=DataPort[118]
unit.1.1.port.-1.s.118.orderindex=-1
unit.1.1.port.-1.s.118.visible=1
unit.1.1.port.-1.s.119.alias=
unit.1.1.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.119.name=DataPort[119]
unit.1.1.port.-1.s.119.orderindex=-1
unit.1.1.port.-1.s.119.visible=1
unit.1.1.port.-1.s.12.alias=
unit.1.1.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.12.name=DataPort[12]
unit.1.1.port.-1.s.12.orderindex=-1
unit.1.1.port.-1.s.12.visible=1
unit.1.1.port.-1.s.120.alias=
unit.1.1.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.120.name=DataPort[120]
unit.1.1.port.-1.s.120.orderindex=-1
unit.1.1.port.-1.s.120.visible=1
unit.1.1.port.-1.s.121.alias=
unit.1.1.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.121.name=DataPort[121]
unit.1.1.port.-1.s.121.orderindex=-1
unit.1.1.port.-1.s.121.visible=1
unit.1.1.port.-1.s.122.alias=
unit.1.1.port.-1.s.122.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.122.name=DataPort[122]
unit.1.1.port.-1.s.122.orderindex=-1
unit.1.1.port.-1.s.122.visible=1
unit.1.1.port.-1.s.123.alias=
unit.1.1.port.-1.s.123.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.123.name=DataPort[123]
unit.1.1.port.-1.s.123.orderindex=-1
unit.1.1.port.-1.s.123.visible=1
unit.1.1.port.-1.s.124.alias=
unit.1.1.port.-1.s.124.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.124.name=DataPort[124]
unit.1.1.port.-1.s.124.orderindex=-1
unit.1.1.port.-1.s.124.visible=1
unit.1.1.port.-1.s.125.alias=
unit.1.1.port.-1.s.125.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.125.name=DataPort[125]
unit.1.1.port.-1.s.125.orderindex=-1
unit.1.1.port.-1.s.125.visible=1
unit.1.1.port.-1.s.126.alias=
unit.1.1.port.-1.s.126.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.126.name=DataPort[126]
unit.1.1.port.-1.s.126.orderindex=-1
unit.1.1.port.-1.s.126.visible=1
unit.1.1.port.-1.s.127.alias=
unit.1.1.port.-1.s.127.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.127.name=DataPort[127]
unit.1.1.port.-1.s.127.orderindex=-1
unit.1.1.port.-1.s.127.visible=1
unit.1.1.port.-1.s.128.alias=
unit.1.1.port.-1.s.128.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.128.name=DataPort[128]
unit.1.1.port.-1.s.128.orderindex=-1
unit.1.1.port.-1.s.128.visible=1
unit.1.1.port.-1.s.129.alias=
unit.1.1.port.-1.s.129.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.129.name=DataPort[129]
unit.1.1.port.-1.s.129.orderindex=-1
unit.1.1.port.-1.s.129.visible=1
unit.1.1.port.-1.s.13.alias=
unit.1.1.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.13.name=DataPort[13]
unit.1.1.port.-1.s.13.orderindex=-1
unit.1.1.port.-1.s.13.visible=1
unit.1.1.port.-1.s.130.alias=
unit.1.1.port.-1.s.130.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.130.name=DataPort[130]
unit.1.1.port.-1.s.130.orderindex=-1
unit.1.1.port.-1.s.130.visible=1
unit.1.1.port.-1.s.131.alias=
unit.1.1.port.-1.s.131.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.131.name=DataPort[131]
unit.1.1.port.-1.s.131.orderindex=-1
unit.1.1.port.-1.s.131.visible=1
unit.1.1.port.-1.s.132.alias=
unit.1.1.port.-1.s.132.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.132.name=DataPort[132]
unit.1.1.port.-1.s.132.orderindex=-1
unit.1.1.port.-1.s.132.visible=1
unit.1.1.port.-1.s.133.alias=
unit.1.1.port.-1.s.133.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.133.name=DataPort[133]
unit.1.1.port.-1.s.133.orderindex=-1
unit.1.1.port.-1.s.133.visible=1
unit.1.1.port.-1.s.134.alias=
unit.1.1.port.-1.s.134.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.134.name=DataPort[134]
unit.1.1.port.-1.s.134.orderindex=-1
unit.1.1.port.-1.s.134.visible=1
unit.1.1.port.-1.s.135.alias=
unit.1.1.port.-1.s.135.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.135.name=DataPort[135]
unit.1.1.port.-1.s.135.orderindex=-1
unit.1.1.port.-1.s.135.visible=1
unit.1.1.port.-1.s.136.alias=
unit.1.1.port.-1.s.136.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.136.name=DataPort[136]
unit.1.1.port.-1.s.136.orderindex=-1
unit.1.1.port.-1.s.136.visible=1
unit.1.1.port.-1.s.137.alias=
unit.1.1.port.-1.s.137.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.137.name=DataPort[137]
unit.1.1.port.-1.s.137.orderindex=-1
unit.1.1.port.-1.s.137.visible=1
unit.1.1.port.-1.s.138.alias=
unit.1.1.port.-1.s.138.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.138.name=DataPort[138]
unit.1.1.port.-1.s.138.orderindex=-1
unit.1.1.port.-1.s.138.visible=1
unit.1.1.port.-1.s.139.alias=
unit.1.1.port.-1.s.139.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.139.name=DataPort[139]
unit.1.1.port.-1.s.139.orderindex=-1
unit.1.1.port.-1.s.139.visible=1
unit.1.1.port.-1.s.14.alias=
unit.1.1.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.14.name=DataPort[14]
unit.1.1.port.-1.s.14.orderindex=-1
unit.1.1.port.-1.s.14.visible=1
unit.1.1.port.-1.s.140.alias=
unit.1.1.port.-1.s.140.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.140.name=DataPort[140]
unit.1.1.port.-1.s.140.orderindex=-1
unit.1.1.port.-1.s.140.visible=1
unit.1.1.port.-1.s.141.alias=
unit.1.1.port.-1.s.141.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.141.name=DataPort[141]
unit.1.1.port.-1.s.141.orderindex=-1
unit.1.1.port.-1.s.141.visible=1
unit.1.1.port.-1.s.142.alias=
unit.1.1.port.-1.s.142.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.142.name=DataPort[142]
unit.1.1.port.-1.s.142.orderindex=-1
unit.1.1.port.-1.s.142.visible=1
unit.1.1.port.-1.s.143.alias=
unit.1.1.port.-1.s.143.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.143.name=DataPort[143]
unit.1.1.port.-1.s.143.orderindex=-1
unit.1.1.port.-1.s.143.visible=1
unit.1.1.port.-1.s.144.alias=
unit.1.1.port.-1.s.144.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.144.name=DataPort[144]
unit.1.1.port.-1.s.144.orderindex=-1
unit.1.1.port.-1.s.144.visible=1
unit.1.1.port.-1.s.145.alias=
unit.1.1.port.-1.s.145.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.145.name=DataPort[145]
unit.1.1.port.-1.s.145.orderindex=-1
unit.1.1.port.-1.s.145.visible=1
unit.1.1.port.-1.s.146.alias=
unit.1.1.port.-1.s.146.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.146.name=DataPort[146]
unit.1.1.port.-1.s.146.orderindex=-1
unit.1.1.port.-1.s.146.visible=1
unit.1.1.port.-1.s.147.alias=
unit.1.1.port.-1.s.147.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.147.name=DataPort[147]
unit.1.1.port.-1.s.147.orderindex=-1
unit.1.1.port.-1.s.147.visible=1
unit.1.1.port.-1.s.148.alias=
unit.1.1.port.-1.s.148.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.148.name=DataPort[148]
unit.1.1.port.-1.s.148.orderindex=-1
unit.1.1.port.-1.s.148.visible=1
unit.1.1.port.-1.s.149.alias=
unit.1.1.port.-1.s.149.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.149.name=DataPort[149]
unit.1.1.port.-1.s.149.orderindex=-1
unit.1.1.port.-1.s.149.visible=1
unit.1.1.port.-1.s.15.alias=
unit.1.1.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.15.name=DataPort[15]
unit.1.1.port.-1.s.15.orderindex=-1
unit.1.1.port.-1.s.15.visible=1
unit.1.1.port.-1.s.150.alias=
unit.1.1.port.-1.s.150.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.150.name=DataPort[150]
unit.1.1.port.-1.s.150.orderindex=-1
unit.1.1.port.-1.s.150.visible=1
unit.1.1.port.-1.s.151.alias=
unit.1.1.port.-1.s.151.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.151.name=DataPort[151]
unit.1.1.port.-1.s.151.orderindex=-1
unit.1.1.port.-1.s.151.visible=1
unit.1.1.port.-1.s.152.alias=
unit.1.1.port.-1.s.152.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.152.name=DataPort[152]
unit.1.1.port.-1.s.152.orderindex=-1
unit.1.1.port.-1.s.152.visible=1
unit.1.1.port.-1.s.153.alias=
unit.1.1.port.-1.s.153.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.153.name=DataPort[153]
unit.1.1.port.-1.s.153.orderindex=-1
unit.1.1.port.-1.s.153.visible=1
unit.1.1.port.-1.s.16.alias=
unit.1.1.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.16.name=DataPort[16]
unit.1.1.port.-1.s.16.orderindex=-1
unit.1.1.port.-1.s.16.visible=1
unit.1.1.port.-1.s.17.alias=
unit.1.1.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.17.name=DataPort[17]
unit.1.1.port.-1.s.17.orderindex=-1
unit.1.1.port.-1.s.17.visible=1
unit.1.1.port.-1.s.18.alias=
unit.1.1.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.18.name=DataPort[18]
unit.1.1.port.-1.s.18.orderindex=-1
unit.1.1.port.-1.s.18.visible=1
unit.1.1.port.-1.s.19.alias=
unit.1.1.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.19.name=DataPort[19]
unit.1.1.port.-1.s.19.orderindex=-1
unit.1.1.port.-1.s.19.visible=1
unit.1.1.port.-1.s.2.alias=
unit.1.1.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.2.name=DataPort[2]
unit.1.1.port.-1.s.2.orderindex=-1
unit.1.1.port.-1.s.2.visible=1
unit.1.1.port.-1.s.20.alias=
unit.1.1.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.20.name=DataPort[20]
unit.1.1.port.-1.s.20.orderindex=-1
unit.1.1.port.-1.s.20.visible=1
unit.1.1.port.-1.s.21.alias=
unit.1.1.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.21.name=DataPort[21]
unit.1.1.port.-1.s.21.orderindex=-1
unit.1.1.port.-1.s.21.visible=1
unit.1.1.port.-1.s.22.alias=
unit.1.1.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.22.name=DataPort[22]
unit.1.1.port.-1.s.22.orderindex=-1
unit.1.1.port.-1.s.22.visible=1
unit.1.1.port.-1.s.23.alias=
unit.1.1.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.23.name=DataPort[23]
unit.1.1.port.-1.s.23.orderindex=-1
unit.1.1.port.-1.s.23.visible=1
unit.1.1.port.-1.s.24.alias=
unit.1.1.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.24.name=DataPort[24]
unit.1.1.port.-1.s.24.orderindex=-1
unit.1.1.port.-1.s.24.visible=1
unit.1.1.port.-1.s.25.alias=
unit.1.1.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.25.name=DataPort[25]
unit.1.1.port.-1.s.25.orderindex=-1
unit.1.1.port.-1.s.25.visible=1
unit.1.1.port.-1.s.26.alias=
unit.1.1.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.26.name=DataPort[26]
unit.1.1.port.-1.s.26.orderindex=-1
unit.1.1.port.-1.s.26.visible=1
unit.1.1.port.-1.s.27.alias=
unit.1.1.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.27.name=DataPort[27]
unit.1.1.port.-1.s.27.orderindex=-1
unit.1.1.port.-1.s.27.visible=1
unit.1.1.port.-1.s.28.alias=
unit.1.1.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.28.name=DataPort[28]
unit.1.1.port.-1.s.28.orderindex=-1
unit.1.1.port.-1.s.28.visible=1
unit.1.1.port.-1.s.29.alias=
unit.1.1.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.29.name=DataPort[29]
unit.1.1.port.-1.s.29.orderindex=-1
unit.1.1.port.-1.s.29.visible=1
unit.1.1.port.-1.s.3.alias=
unit.1.1.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.3.name=DataPort[3]
unit.1.1.port.-1.s.3.orderindex=-1
unit.1.1.port.-1.s.3.visible=1
unit.1.1.port.-1.s.30.alias=
unit.1.1.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.30.name=DataPort[30]
unit.1.1.port.-1.s.30.orderindex=-1
unit.1.1.port.-1.s.30.visible=1
unit.1.1.port.-1.s.31.alias=
unit.1.1.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.31.name=DataPort[31]
unit.1.1.port.-1.s.31.orderindex=-1
unit.1.1.port.-1.s.31.visible=1
unit.1.1.port.-1.s.32.alias=
unit.1.1.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.32.name=DataPort[32]
unit.1.1.port.-1.s.32.orderindex=-1
unit.1.1.port.-1.s.32.visible=1
unit.1.1.port.-1.s.33.alias=
unit.1.1.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.33.name=DataPort[33]
unit.1.1.port.-1.s.33.orderindex=-1
unit.1.1.port.-1.s.33.visible=1
unit.1.1.port.-1.s.34.alias=
unit.1.1.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.34.name=DataPort[34]
unit.1.1.port.-1.s.34.orderindex=-1
unit.1.1.port.-1.s.34.visible=1
unit.1.1.port.-1.s.35.alias=
unit.1.1.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.35.name=DataPort[35]
unit.1.1.port.-1.s.35.orderindex=-1
unit.1.1.port.-1.s.35.visible=1
unit.1.1.port.-1.s.36.alias=
unit.1.1.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.36.name=DataPort[36]
unit.1.1.port.-1.s.36.orderindex=-1
unit.1.1.port.-1.s.36.visible=1
unit.1.1.port.-1.s.37.alias=
unit.1.1.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.37.name=DataPort[37]
unit.1.1.port.-1.s.37.orderindex=-1
unit.1.1.port.-1.s.37.visible=1
unit.1.1.port.-1.s.38.alias=
unit.1.1.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.38.name=DataPort[38]
unit.1.1.port.-1.s.38.orderindex=-1
unit.1.1.port.-1.s.38.visible=1
unit.1.1.port.-1.s.39.alias=
unit.1.1.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.39.name=DataPort[39]
unit.1.1.port.-1.s.39.orderindex=-1
unit.1.1.port.-1.s.39.visible=1
unit.1.1.port.-1.s.4.alias=
unit.1.1.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.4.name=DataPort[4]
unit.1.1.port.-1.s.4.orderindex=-1
unit.1.1.port.-1.s.4.visible=1
unit.1.1.port.-1.s.40.alias=
unit.1.1.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.40.name=DataPort[40]
unit.1.1.port.-1.s.40.orderindex=-1
unit.1.1.port.-1.s.40.visible=1
unit.1.1.port.-1.s.41.alias=
unit.1.1.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.41.name=DataPort[41]
unit.1.1.port.-1.s.41.orderindex=-1
unit.1.1.port.-1.s.41.visible=1
unit.1.1.port.-1.s.42.alias=
unit.1.1.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.42.name=DataPort[42]
unit.1.1.port.-1.s.42.orderindex=-1
unit.1.1.port.-1.s.42.visible=1
unit.1.1.port.-1.s.43.alias=
unit.1.1.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.43.name=DataPort[43]
unit.1.1.port.-1.s.43.orderindex=-1
unit.1.1.port.-1.s.43.visible=1
unit.1.1.port.-1.s.44.alias=
unit.1.1.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.44.name=DataPort[44]
unit.1.1.port.-1.s.44.orderindex=-1
unit.1.1.port.-1.s.44.visible=1
unit.1.1.port.-1.s.45.alias=
unit.1.1.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.45.name=DataPort[45]
unit.1.1.port.-1.s.45.orderindex=-1
unit.1.1.port.-1.s.45.visible=1
unit.1.1.port.-1.s.46.alias=
unit.1.1.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.46.name=DataPort[46]
unit.1.1.port.-1.s.46.orderindex=-1
unit.1.1.port.-1.s.46.visible=1
unit.1.1.port.-1.s.47.alias=
unit.1.1.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.47.name=DataPort[47]
unit.1.1.port.-1.s.47.orderindex=-1
unit.1.1.port.-1.s.47.visible=1
unit.1.1.port.-1.s.48.alias=
unit.1.1.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.48.name=DataPort[48]
unit.1.1.port.-1.s.48.orderindex=-1
unit.1.1.port.-1.s.48.visible=1
unit.1.1.port.-1.s.49.alias=
unit.1.1.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.49.name=DataPort[49]
unit.1.1.port.-1.s.49.orderindex=-1
unit.1.1.port.-1.s.49.visible=1
unit.1.1.port.-1.s.5.alias=
unit.1.1.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.5.name=DataPort[5]
unit.1.1.port.-1.s.5.orderindex=-1
unit.1.1.port.-1.s.5.visible=1
unit.1.1.port.-1.s.50.alias=
unit.1.1.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.50.name=DataPort[50]
unit.1.1.port.-1.s.50.orderindex=-1
unit.1.1.port.-1.s.50.visible=1
unit.1.1.port.-1.s.51.alias=
unit.1.1.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.51.name=DataPort[51]
unit.1.1.port.-1.s.51.orderindex=-1
unit.1.1.port.-1.s.51.visible=1
unit.1.1.port.-1.s.52.alias=
unit.1.1.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.52.name=DataPort[52]
unit.1.1.port.-1.s.52.orderindex=-1
unit.1.1.port.-1.s.52.visible=1
unit.1.1.port.-1.s.53.alias=
unit.1.1.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.53.name=DataPort[53]
unit.1.1.port.-1.s.53.orderindex=-1
unit.1.1.port.-1.s.53.visible=1
unit.1.1.port.-1.s.54.alias=
unit.1.1.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.54.name=DataPort[54]
unit.1.1.port.-1.s.54.orderindex=-1
unit.1.1.port.-1.s.54.visible=1
unit.1.1.port.-1.s.55.alias=
unit.1.1.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.55.name=DataPort[55]
unit.1.1.port.-1.s.55.orderindex=-1
unit.1.1.port.-1.s.55.visible=1
unit.1.1.port.-1.s.56.alias=
unit.1.1.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.56.name=DataPort[56]
unit.1.1.port.-1.s.56.orderindex=-1
unit.1.1.port.-1.s.56.visible=1
unit.1.1.port.-1.s.57.alias=
unit.1.1.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.57.name=DataPort[57]
unit.1.1.port.-1.s.57.orderindex=-1
unit.1.1.port.-1.s.57.visible=1
unit.1.1.port.-1.s.58.alias=
unit.1.1.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.58.name=DataPort[58]
unit.1.1.port.-1.s.58.orderindex=-1
unit.1.1.port.-1.s.58.visible=1
unit.1.1.port.-1.s.59.alias=
unit.1.1.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.59.name=DataPort[59]
unit.1.1.port.-1.s.59.orderindex=-1
unit.1.1.port.-1.s.59.visible=1
unit.1.1.port.-1.s.6.alias=
unit.1.1.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.6.name=DataPort[6]
unit.1.1.port.-1.s.6.orderindex=-1
unit.1.1.port.-1.s.6.visible=1
unit.1.1.port.-1.s.60.alias=
unit.1.1.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.60.name=DataPort[60]
unit.1.1.port.-1.s.60.orderindex=-1
unit.1.1.port.-1.s.60.visible=1
unit.1.1.port.-1.s.61.alias=
unit.1.1.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.61.name=DataPort[61]
unit.1.1.port.-1.s.61.orderindex=-1
unit.1.1.port.-1.s.61.visible=1
unit.1.1.port.-1.s.62.alias=
unit.1.1.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.62.name=DataPort[62]
unit.1.1.port.-1.s.62.orderindex=-1
unit.1.1.port.-1.s.62.visible=1
unit.1.1.port.-1.s.63.alias=
unit.1.1.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.63.name=DataPort[63]
unit.1.1.port.-1.s.63.orderindex=-1
unit.1.1.port.-1.s.63.visible=1
unit.1.1.port.-1.s.64.alias=
unit.1.1.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.64.name=DataPort[64]
unit.1.1.port.-1.s.64.orderindex=-1
unit.1.1.port.-1.s.64.visible=1
unit.1.1.port.-1.s.65.alias=
unit.1.1.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.65.name=DataPort[65]
unit.1.1.port.-1.s.65.orderindex=-1
unit.1.1.port.-1.s.65.visible=1
unit.1.1.port.-1.s.66.alias=
unit.1.1.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.66.name=DataPort[66]
unit.1.1.port.-1.s.66.orderindex=-1
unit.1.1.port.-1.s.66.visible=1
unit.1.1.port.-1.s.67.alias=
unit.1.1.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.67.name=DataPort[67]
unit.1.1.port.-1.s.67.orderindex=-1
unit.1.1.port.-1.s.67.visible=1
unit.1.1.port.-1.s.68.alias=
unit.1.1.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.68.name=DataPort[68]
unit.1.1.port.-1.s.68.orderindex=-1
unit.1.1.port.-1.s.68.visible=1
unit.1.1.port.-1.s.69.alias=
unit.1.1.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.69.name=DataPort[69]
unit.1.1.port.-1.s.69.orderindex=-1
unit.1.1.port.-1.s.69.visible=1
unit.1.1.port.-1.s.7.alias=
unit.1.1.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.7.name=DataPort[7]
unit.1.1.port.-1.s.7.orderindex=-1
unit.1.1.port.-1.s.7.visible=1
unit.1.1.port.-1.s.70.alias=
unit.1.1.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.70.name=DataPort[70]
unit.1.1.port.-1.s.70.orderindex=-1
unit.1.1.port.-1.s.70.visible=1
unit.1.1.port.-1.s.71.alias=
unit.1.1.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.71.name=DataPort[71]
unit.1.1.port.-1.s.71.orderindex=-1
unit.1.1.port.-1.s.71.visible=1
unit.1.1.port.-1.s.72.alias=
unit.1.1.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.72.name=DataPort[72]
unit.1.1.port.-1.s.72.orderindex=-1
unit.1.1.port.-1.s.72.visible=1
unit.1.1.port.-1.s.73.alias=
unit.1.1.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.73.name=DataPort[73]
unit.1.1.port.-1.s.73.orderindex=-1
unit.1.1.port.-1.s.73.visible=1
unit.1.1.port.-1.s.74.alias=
unit.1.1.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.74.name=DataPort[74]
unit.1.1.port.-1.s.74.orderindex=-1
unit.1.1.port.-1.s.74.visible=1
unit.1.1.port.-1.s.75.alias=
unit.1.1.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.75.name=DataPort[75]
unit.1.1.port.-1.s.75.orderindex=-1
unit.1.1.port.-1.s.75.visible=1
unit.1.1.port.-1.s.76.alias=
unit.1.1.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.76.name=DataPort[76]
unit.1.1.port.-1.s.76.orderindex=-1
unit.1.1.port.-1.s.76.visible=1
unit.1.1.port.-1.s.77.alias=
unit.1.1.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.77.name=DataPort[77]
unit.1.1.port.-1.s.77.orderindex=-1
unit.1.1.port.-1.s.77.visible=1
unit.1.1.port.-1.s.78.alias=
unit.1.1.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.78.name=DataPort[78]
unit.1.1.port.-1.s.78.orderindex=-1
unit.1.1.port.-1.s.78.visible=1
unit.1.1.port.-1.s.79.alias=
unit.1.1.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.79.name=DataPort[79]
unit.1.1.port.-1.s.79.orderindex=-1
unit.1.1.port.-1.s.79.visible=1
unit.1.1.port.-1.s.8.alias=
unit.1.1.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.8.name=DataPort[8]
unit.1.1.port.-1.s.8.orderindex=-1
unit.1.1.port.-1.s.8.visible=1
unit.1.1.port.-1.s.80.alias=
unit.1.1.port.-1.s.80.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.80.name=DataPort[80]
unit.1.1.port.-1.s.80.orderindex=-1
unit.1.1.port.-1.s.80.visible=1
unit.1.1.port.-1.s.81.alias=
unit.1.1.port.-1.s.81.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.81.name=DataPort[81]
unit.1.1.port.-1.s.81.orderindex=-1
unit.1.1.port.-1.s.81.visible=1
unit.1.1.port.-1.s.82.alias=
unit.1.1.port.-1.s.82.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.82.name=DataPort[82]
unit.1.1.port.-1.s.82.orderindex=-1
unit.1.1.port.-1.s.82.visible=1
unit.1.1.port.-1.s.83.alias=
unit.1.1.port.-1.s.83.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.83.name=DataPort[83]
unit.1.1.port.-1.s.83.orderindex=-1
unit.1.1.port.-1.s.83.visible=1
unit.1.1.port.-1.s.84.alias=
unit.1.1.port.-1.s.84.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.84.name=DataPort[84]
unit.1.1.port.-1.s.84.orderindex=-1
unit.1.1.port.-1.s.84.visible=1
unit.1.1.port.-1.s.85.alias=
unit.1.1.port.-1.s.85.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.85.name=DataPort[85]
unit.1.1.port.-1.s.85.orderindex=-1
unit.1.1.port.-1.s.85.visible=1
unit.1.1.port.-1.s.86.alias=
unit.1.1.port.-1.s.86.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.86.name=DataPort[86]
unit.1.1.port.-1.s.86.orderindex=-1
unit.1.1.port.-1.s.86.visible=1
unit.1.1.port.-1.s.87.alias=
unit.1.1.port.-1.s.87.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.87.name=DataPort[87]
unit.1.1.port.-1.s.87.orderindex=-1
unit.1.1.port.-1.s.87.visible=1
unit.1.1.port.-1.s.88.alias=
unit.1.1.port.-1.s.88.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.88.name=DataPort[88]
unit.1.1.port.-1.s.88.orderindex=-1
unit.1.1.port.-1.s.88.visible=1
unit.1.1.port.-1.s.89.alias=
unit.1.1.port.-1.s.89.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.89.name=DataPort[89]
unit.1.1.port.-1.s.89.orderindex=-1
unit.1.1.port.-1.s.89.visible=1
unit.1.1.port.-1.s.9.alias=
unit.1.1.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.9.name=DataPort[9]
unit.1.1.port.-1.s.9.orderindex=-1
unit.1.1.port.-1.s.9.visible=1
unit.1.1.port.-1.s.90.alias=
unit.1.1.port.-1.s.90.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.90.name=DataPort[90]
unit.1.1.port.-1.s.90.orderindex=-1
unit.1.1.port.-1.s.90.visible=1
unit.1.1.port.-1.s.91.alias=
unit.1.1.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.91.name=DataPort[91]
unit.1.1.port.-1.s.91.orderindex=-1
unit.1.1.port.-1.s.91.visible=1
unit.1.1.port.-1.s.92.alias=
unit.1.1.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.92.name=DataPort[92]
unit.1.1.port.-1.s.92.orderindex=-1
unit.1.1.port.-1.s.92.visible=1
unit.1.1.port.-1.s.93.alias=
unit.1.1.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.93.name=DataPort[93]
unit.1.1.port.-1.s.93.orderindex=-1
unit.1.1.port.-1.s.93.visible=1
unit.1.1.port.-1.s.94.alias=
unit.1.1.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.94.name=DataPort[94]
unit.1.1.port.-1.s.94.orderindex=-1
unit.1.1.port.-1.s.94.visible=1
unit.1.1.port.-1.s.95.alias=
unit.1.1.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.95.name=DataPort[95]
unit.1.1.port.-1.s.95.orderindex=-1
unit.1.1.port.-1.s.95.visible=1
unit.1.1.port.-1.s.96.alias=
unit.1.1.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.96.name=DataPort[96]
unit.1.1.port.-1.s.96.orderindex=-1
unit.1.1.port.-1.s.96.visible=1
unit.1.1.port.-1.s.97.alias=
unit.1.1.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.97.name=DataPort[97]
unit.1.1.port.-1.s.97.orderindex=-1
unit.1.1.port.-1.s.97.visible=1
unit.1.1.port.-1.s.98.alias=
unit.1.1.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.98.name=DataPort[98]
unit.1.1.port.-1.s.98.orderindex=-1
unit.1.1.port.-1.s.98.visible=1
unit.1.1.port.-1.s.99.alias=
unit.1.1.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.-1.s.99.name=DataPort[99]
unit.1.1.port.-1.s.99.orderindex=-1
unit.1.1.port.-1.s.99.visible=1
unit.1.1.port.0.b.0.alias=
unit.1.1.port.0.b.0.channellist=0 1 2 3 4 5 6 7
unit.1.1.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.0.b.0.name=TriggerPort0
unit.1.1.port.0.b.0.orderindex=-1
unit.1.1.port.0.b.0.radix=Hex
unit.1.1.port.0.b.0.signedOffset=0.0
unit.1.1.port.0.b.0.signedPrecision=0
unit.1.1.port.0.b.0.signedScaleFactor=1.0
unit.1.1.port.0.b.0.unsignedOffset=0.0
unit.1.1.port.0.b.0.unsignedPrecision=0
unit.1.1.port.0.b.0.unsignedScaleFactor=1.0
unit.1.1.port.0.b.0.visible=1
unit.1.1.port.0.buscount=1
unit.1.1.port.0.channelcount=8
unit.1.1.port.0.s.0.alias=
unit.1.1.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.0.s.0.name=TriggerPort0[0]
unit.1.1.port.0.s.0.orderindex=-1
unit.1.1.port.0.s.0.visible=1
unit.1.1.port.0.s.1.alias=
unit.1.1.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.0.s.1.name=TriggerPort0[1]
unit.1.1.port.0.s.1.orderindex=-1
unit.1.1.port.0.s.1.visible=1
unit.1.1.port.0.s.2.alias=
unit.1.1.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.0.s.2.name=TriggerPort0[2]
unit.1.1.port.0.s.2.orderindex=-1
unit.1.1.port.0.s.2.visible=1
unit.1.1.port.0.s.3.alias=
unit.1.1.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.0.s.3.name=TriggerPort0[3]
unit.1.1.port.0.s.3.orderindex=-1
unit.1.1.port.0.s.3.visible=1
unit.1.1.port.0.s.4.alias=
unit.1.1.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.0.s.4.name=TriggerPort0[4]
unit.1.1.port.0.s.4.orderindex=-1
unit.1.1.port.0.s.4.visible=1
unit.1.1.port.0.s.5.alias=
unit.1.1.port.0.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.0.s.5.name=TriggerPort0[5]
unit.1.1.port.0.s.5.orderindex=-1
unit.1.1.port.0.s.5.visible=1
unit.1.1.port.0.s.6.alias=
unit.1.1.port.0.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.0.s.6.name=TriggerPort0[6]
unit.1.1.port.0.s.6.orderindex=-1
unit.1.1.port.0.s.6.visible=1
unit.1.1.port.0.s.7.alias=
unit.1.1.port.0.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.0.s.7.name=TriggerPort0[7]
unit.1.1.port.0.s.7.orderindex=-1
unit.1.1.port.0.s.7.visible=1
unit.1.1.port.1.b.0.alias=
unit.1.1.port.1.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.1.port.1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.b.0.name=TriggerPort1
unit.1.1.port.1.b.0.orderindex=-1
unit.1.1.port.1.b.0.radix=Hex
unit.1.1.port.1.b.0.signedOffset=0.0
unit.1.1.port.1.b.0.signedPrecision=0
unit.1.1.port.1.b.0.signedScaleFactor=1.0
unit.1.1.port.1.b.0.unsignedOffset=0.0
unit.1.1.port.1.b.0.unsignedPrecision=0
unit.1.1.port.1.b.0.unsignedScaleFactor=1.0
unit.1.1.port.1.b.0.visible=1
unit.1.1.port.1.buscount=1
unit.1.1.port.1.channelcount=16
unit.1.1.port.1.s.0.alias=
unit.1.1.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.0.name=TriggerPort1[0]
unit.1.1.port.1.s.0.orderindex=-1
unit.1.1.port.1.s.0.visible=1
unit.1.1.port.1.s.1.alias=
unit.1.1.port.1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.1.name=TriggerPort1[1]
unit.1.1.port.1.s.1.orderindex=-1
unit.1.1.port.1.s.1.visible=1
unit.1.1.port.1.s.10.alias=
unit.1.1.port.1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.10.name=TriggerPort1[10]
unit.1.1.port.1.s.10.orderindex=-1
unit.1.1.port.1.s.10.visible=1
unit.1.1.port.1.s.11.alias=
unit.1.1.port.1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.11.name=TriggerPort1[11]
unit.1.1.port.1.s.11.orderindex=-1
unit.1.1.port.1.s.11.visible=1
unit.1.1.port.1.s.12.alias=
unit.1.1.port.1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.12.name=TriggerPort1[12]
unit.1.1.port.1.s.12.orderindex=-1
unit.1.1.port.1.s.12.visible=1
unit.1.1.port.1.s.13.alias=
unit.1.1.port.1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.13.name=TriggerPort1[13]
unit.1.1.port.1.s.13.orderindex=-1
unit.1.1.port.1.s.13.visible=1
unit.1.1.port.1.s.14.alias=
unit.1.1.port.1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.14.name=TriggerPort1[14]
unit.1.1.port.1.s.14.orderindex=-1
unit.1.1.port.1.s.14.visible=1
unit.1.1.port.1.s.15.alias=
unit.1.1.port.1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.15.name=TriggerPort1[15]
unit.1.1.port.1.s.15.orderindex=-1
unit.1.1.port.1.s.15.visible=1
unit.1.1.port.1.s.2.alias=
unit.1.1.port.1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.2.name=TriggerPort1[2]
unit.1.1.port.1.s.2.orderindex=-1
unit.1.1.port.1.s.2.visible=1
unit.1.1.port.1.s.3.alias=
unit.1.1.port.1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.3.name=TriggerPort1[3]
unit.1.1.port.1.s.3.orderindex=-1
unit.1.1.port.1.s.3.visible=1
unit.1.1.port.1.s.4.alias=
unit.1.1.port.1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.4.name=TriggerPort1[4]
unit.1.1.port.1.s.4.orderindex=-1
unit.1.1.port.1.s.4.visible=1
unit.1.1.port.1.s.5.alias=
unit.1.1.port.1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.5.name=TriggerPort1[5]
unit.1.1.port.1.s.5.orderindex=-1
unit.1.1.port.1.s.5.visible=1
unit.1.1.port.1.s.6.alias=
unit.1.1.port.1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.6.name=TriggerPort1[6]
unit.1.1.port.1.s.6.orderindex=-1
unit.1.1.port.1.s.6.visible=1
unit.1.1.port.1.s.7.alias=
unit.1.1.port.1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.7.name=TriggerPort1[7]
unit.1.1.port.1.s.7.orderindex=-1
unit.1.1.port.1.s.7.visible=1
unit.1.1.port.1.s.8.alias=
unit.1.1.port.1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.8.name=TriggerPort1[8]
unit.1.1.port.1.s.8.orderindex=-1
unit.1.1.port.1.s.8.visible=1
unit.1.1.port.1.s.9.alias=
unit.1.1.port.1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.1.s.9.name=TriggerPort1[9]
unit.1.1.port.1.s.9.orderindex=-1
unit.1.1.port.1.s.9.visible=1
unit.1.1.port.10.b.0.alias=
unit.1.1.port.10.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.1.port.10.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.b.0.name=TriggerPort10
unit.1.1.port.10.b.0.orderindex=-1
unit.1.1.port.10.b.0.radix=Hex
unit.1.1.port.10.b.0.signedOffset=0.0
unit.1.1.port.10.b.0.signedPrecision=0
unit.1.1.port.10.b.0.signedScaleFactor=1.0
unit.1.1.port.10.b.0.unsignedOffset=0.0
unit.1.1.port.10.b.0.unsignedPrecision=0
unit.1.1.port.10.b.0.unsignedScaleFactor=1.0
unit.1.1.port.10.b.0.visible=1
unit.1.1.port.10.buscount=1
unit.1.1.port.10.channelcount=16
unit.1.1.port.10.s.0.alias=
unit.1.1.port.10.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.0.name=TriggerPort10[0]
unit.1.1.port.10.s.0.orderindex=-1
unit.1.1.port.10.s.0.visible=1
unit.1.1.port.10.s.1.alias=
unit.1.1.port.10.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.1.name=TriggerPort10[1]
unit.1.1.port.10.s.1.orderindex=-1
unit.1.1.port.10.s.1.visible=1
unit.1.1.port.10.s.10.alias=
unit.1.1.port.10.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.10.name=TriggerPort10[10]
unit.1.1.port.10.s.10.orderindex=-1
unit.1.1.port.10.s.10.visible=1
unit.1.1.port.10.s.11.alias=
unit.1.1.port.10.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.11.name=TriggerPort10[11]
unit.1.1.port.10.s.11.orderindex=-1
unit.1.1.port.10.s.11.visible=1
unit.1.1.port.10.s.12.alias=
unit.1.1.port.10.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.12.name=TriggerPort10[12]
unit.1.1.port.10.s.12.orderindex=-1
unit.1.1.port.10.s.12.visible=1
unit.1.1.port.10.s.13.alias=
unit.1.1.port.10.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.13.name=TriggerPort10[13]
unit.1.1.port.10.s.13.orderindex=-1
unit.1.1.port.10.s.13.visible=1
unit.1.1.port.10.s.14.alias=
unit.1.1.port.10.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.14.name=TriggerPort10[14]
unit.1.1.port.10.s.14.orderindex=-1
unit.1.1.port.10.s.14.visible=1
unit.1.1.port.10.s.15.alias=
unit.1.1.port.10.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.15.name=TriggerPort10[15]
unit.1.1.port.10.s.15.orderindex=-1
unit.1.1.port.10.s.15.visible=1
unit.1.1.port.10.s.2.alias=
unit.1.1.port.10.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.2.name=TriggerPort10[2]
unit.1.1.port.10.s.2.orderindex=-1
unit.1.1.port.10.s.2.visible=1
unit.1.1.port.10.s.3.alias=
unit.1.1.port.10.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.3.name=TriggerPort10[3]
unit.1.1.port.10.s.3.orderindex=-1
unit.1.1.port.10.s.3.visible=1
unit.1.1.port.10.s.4.alias=
unit.1.1.port.10.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.4.name=TriggerPort10[4]
unit.1.1.port.10.s.4.orderindex=-1
unit.1.1.port.10.s.4.visible=1
unit.1.1.port.10.s.5.alias=
unit.1.1.port.10.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.5.name=TriggerPort10[5]
unit.1.1.port.10.s.5.orderindex=-1
unit.1.1.port.10.s.5.visible=1
unit.1.1.port.10.s.6.alias=
unit.1.1.port.10.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.6.name=TriggerPort10[6]
unit.1.1.port.10.s.6.orderindex=-1
unit.1.1.port.10.s.6.visible=1
unit.1.1.port.10.s.7.alias=
unit.1.1.port.10.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.7.name=TriggerPort10[7]
unit.1.1.port.10.s.7.orderindex=-1
unit.1.1.port.10.s.7.visible=1
unit.1.1.port.10.s.8.alias=
unit.1.1.port.10.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.8.name=TriggerPort10[8]
unit.1.1.port.10.s.8.orderindex=-1
unit.1.1.port.10.s.8.visible=1
unit.1.1.port.10.s.9.alias=
unit.1.1.port.10.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.10.s.9.name=TriggerPort10[9]
unit.1.1.port.10.s.9.orderindex=-1
unit.1.1.port.10.s.9.visible=1
unit.1.1.port.2.b.0.alias=
unit.1.1.port.2.b.0.channellist=0 1
unit.1.1.port.2.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.2.b.0.name=TriggerPort2
unit.1.1.port.2.b.0.orderindex=-1
unit.1.1.port.2.b.0.radix=Hex
unit.1.1.port.2.b.0.signedOffset=0.0
unit.1.1.port.2.b.0.signedPrecision=0
unit.1.1.port.2.b.0.signedScaleFactor=1.0
unit.1.1.port.2.b.0.unsignedOffset=0.0
unit.1.1.port.2.b.0.unsignedPrecision=0
unit.1.1.port.2.b.0.unsignedScaleFactor=1.0
unit.1.1.port.2.b.0.visible=1
unit.1.1.port.2.buscount=1
unit.1.1.port.2.channelcount=2
unit.1.1.port.2.s.0.alias=
unit.1.1.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.2.s.0.name=TriggerPort2[0]
unit.1.1.port.2.s.0.orderindex=-1
unit.1.1.port.2.s.0.visible=1
unit.1.1.port.2.s.1.alias=
unit.1.1.port.2.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.2.s.1.name=TriggerPort2[1]
unit.1.1.port.2.s.1.orderindex=-1
unit.1.1.port.2.s.1.visible=1
unit.1.1.port.3.b.0.alias=
unit.1.1.port.3.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.1.port.3.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.b.0.name=TriggerPort3
unit.1.1.port.3.b.0.orderindex=-1
unit.1.1.port.3.b.0.radix=Hex
unit.1.1.port.3.b.0.signedOffset=0.0
unit.1.1.port.3.b.0.signedPrecision=0
unit.1.1.port.3.b.0.signedScaleFactor=1.0
unit.1.1.port.3.b.0.unsignedOffset=0.0
unit.1.1.port.3.b.0.unsignedPrecision=0
unit.1.1.port.3.b.0.unsignedScaleFactor=1.0
unit.1.1.port.3.b.0.visible=1
unit.1.1.port.3.buscount=1
unit.1.1.port.3.channelcount=16
unit.1.1.port.3.s.0.alias=
unit.1.1.port.3.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.0.name=TriggerPort3[0]
unit.1.1.port.3.s.0.orderindex=-1
unit.1.1.port.3.s.0.visible=1
unit.1.1.port.3.s.1.alias=
unit.1.1.port.3.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.1.name=TriggerPort3[1]
unit.1.1.port.3.s.1.orderindex=-1
unit.1.1.port.3.s.1.visible=1
unit.1.1.port.3.s.10.alias=
unit.1.1.port.3.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.10.name=TriggerPort3[10]
unit.1.1.port.3.s.10.orderindex=-1
unit.1.1.port.3.s.10.visible=1
unit.1.1.port.3.s.11.alias=
unit.1.1.port.3.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.11.name=TriggerPort3[11]
unit.1.1.port.3.s.11.orderindex=-1
unit.1.1.port.3.s.11.visible=1
unit.1.1.port.3.s.12.alias=
unit.1.1.port.3.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.12.name=TriggerPort3[12]
unit.1.1.port.3.s.12.orderindex=-1
unit.1.1.port.3.s.12.visible=1
unit.1.1.port.3.s.13.alias=
unit.1.1.port.3.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.13.name=TriggerPort3[13]
unit.1.1.port.3.s.13.orderindex=-1
unit.1.1.port.3.s.13.visible=1
unit.1.1.port.3.s.14.alias=
unit.1.1.port.3.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.14.name=TriggerPort3[14]
unit.1.1.port.3.s.14.orderindex=-1
unit.1.1.port.3.s.14.visible=1
unit.1.1.port.3.s.15.alias=
unit.1.1.port.3.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.15.name=TriggerPort3[15]
unit.1.1.port.3.s.15.orderindex=-1
unit.1.1.port.3.s.15.visible=1
unit.1.1.port.3.s.2.alias=
unit.1.1.port.3.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.2.name=TriggerPort3[2]
unit.1.1.port.3.s.2.orderindex=-1
unit.1.1.port.3.s.2.visible=1
unit.1.1.port.3.s.3.alias=
unit.1.1.port.3.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.3.name=TriggerPort3[3]
unit.1.1.port.3.s.3.orderindex=-1
unit.1.1.port.3.s.3.visible=1
unit.1.1.port.3.s.4.alias=
unit.1.1.port.3.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.4.name=TriggerPort3[4]
unit.1.1.port.3.s.4.orderindex=-1
unit.1.1.port.3.s.4.visible=1
unit.1.1.port.3.s.5.alias=
unit.1.1.port.3.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.5.name=TriggerPort3[5]
unit.1.1.port.3.s.5.orderindex=-1
unit.1.1.port.3.s.5.visible=1
unit.1.1.port.3.s.6.alias=
unit.1.1.port.3.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.6.name=TriggerPort3[6]
unit.1.1.port.3.s.6.orderindex=-1
unit.1.1.port.3.s.6.visible=1
unit.1.1.port.3.s.7.alias=
unit.1.1.port.3.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.7.name=TriggerPort3[7]
unit.1.1.port.3.s.7.orderindex=-1
unit.1.1.port.3.s.7.visible=1
unit.1.1.port.3.s.8.alias=
unit.1.1.port.3.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.8.name=TriggerPort3[8]
unit.1.1.port.3.s.8.orderindex=-1
unit.1.1.port.3.s.8.visible=1
unit.1.1.port.3.s.9.alias=
unit.1.1.port.3.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.3.s.9.name=TriggerPort3[9]
unit.1.1.port.3.s.9.orderindex=-1
unit.1.1.port.3.s.9.visible=1
unit.1.1.port.4.b.0.alias=
unit.1.1.port.4.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.1.port.4.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.b.0.name=TriggerPort4
unit.1.1.port.4.b.0.orderindex=-1
unit.1.1.port.4.b.0.radix=Hex
unit.1.1.port.4.b.0.signedOffset=0.0
unit.1.1.port.4.b.0.signedPrecision=0
unit.1.1.port.4.b.0.signedScaleFactor=1.0
unit.1.1.port.4.b.0.unsignedOffset=0.0
unit.1.1.port.4.b.0.unsignedPrecision=0
unit.1.1.port.4.b.0.unsignedScaleFactor=1.0
unit.1.1.port.4.b.0.visible=1
unit.1.1.port.4.buscount=1
unit.1.1.port.4.channelcount=16
unit.1.1.port.4.s.0.alias=
unit.1.1.port.4.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.0.name=TriggerPort4[0]
unit.1.1.port.4.s.0.orderindex=-1
unit.1.1.port.4.s.0.visible=1
unit.1.1.port.4.s.1.alias=
unit.1.1.port.4.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.1.name=TriggerPort4[1]
unit.1.1.port.4.s.1.orderindex=-1
unit.1.1.port.4.s.1.visible=1
unit.1.1.port.4.s.10.alias=
unit.1.1.port.4.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.10.name=TriggerPort4[10]
unit.1.1.port.4.s.10.orderindex=-1
unit.1.1.port.4.s.10.visible=1
unit.1.1.port.4.s.11.alias=
unit.1.1.port.4.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.11.name=TriggerPort4[11]
unit.1.1.port.4.s.11.orderindex=-1
unit.1.1.port.4.s.11.visible=1
unit.1.1.port.4.s.12.alias=
unit.1.1.port.4.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.12.name=TriggerPort4[12]
unit.1.1.port.4.s.12.orderindex=-1
unit.1.1.port.4.s.12.visible=1
unit.1.1.port.4.s.13.alias=
unit.1.1.port.4.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.13.name=TriggerPort4[13]
unit.1.1.port.4.s.13.orderindex=-1
unit.1.1.port.4.s.13.visible=1
unit.1.1.port.4.s.14.alias=
unit.1.1.port.4.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.14.name=TriggerPort4[14]
unit.1.1.port.4.s.14.orderindex=-1
unit.1.1.port.4.s.14.visible=1
unit.1.1.port.4.s.15.alias=
unit.1.1.port.4.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.15.name=TriggerPort4[15]
unit.1.1.port.4.s.15.orderindex=-1
unit.1.1.port.4.s.15.visible=1
unit.1.1.port.4.s.2.alias=
unit.1.1.port.4.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.2.name=TriggerPort4[2]
unit.1.1.port.4.s.2.orderindex=-1
unit.1.1.port.4.s.2.visible=1
unit.1.1.port.4.s.3.alias=
unit.1.1.port.4.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.3.name=TriggerPort4[3]
unit.1.1.port.4.s.3.orderindex=-1
unit.1.1.port.4.s.3.visible=1
unit.1.1.port.4.s.4.alias=
unit.1.1.port.4.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.4.name=TriggerPort4[4]
unit.1.1.port.4.s.4.orderindex=-1
unit.1.1.port.4.s.4.visible=1
unit.1.1.port.4.s.5.alias=
unit.1.1.port.4.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.5.name=TriggerPort4[5]
unit.1.1.port.4.s.5.orderindex=-1
unit.1.1.port.4.s.5.visible=1
unit.1.1.port.4.s.6.alias=
unit.1.1.port.4.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.6.name=TriggerPort4[6]
unit.1.1.port.4.s.6.orderindex=-1
unit.1.1.port.4.s.6.visible=1
unit.1.1.port.4.s.7.alias=
unit.1.1.port.4.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.7.name=TriggerPort4[7]
unit.1.1.port.4.s.7.orderindex=-1
unit.1.1.port.4.s.7.visible=1
unit.1.1.port.4.s.8.alias=
unit.1.1.port.4.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.8.name=TriggerPort4[8]
unit.1.1.port.4.s.8.orderindex=-1
unit.1.1.port.4.s.8.visible=1
unit.1.1.port.4.s.9.alias=
unit.1.1.port.4.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.4.s.9.name=TriggerPort4[9]
unit.1.1.port.4.s.9.orderindex=-1
unit.1.1.port.4.s.9.visible=1
unit.1.1.port.5.b.0.alias=
unit.1.1.port.5.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.1.port.5.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.b.0.name=TriggerPort5
unit.1.1.port.5.b.0.orderindex=-1
unit.1.1.port.5.b.0.radix=Hex
unit.1.1.port.5.b.0.signedOffset=0.0
unit.1.1.port.5.b.0.signedPrecision=0
unit.1.1.port.5.b.0.signedScaleFactor=1.0
unit.1.1.port.5.b.0.unsignedOffset=0.0
unit.1.1.port.5.b.0.unsignedPrecision=0
unit.1.1.port.5.b.0.unsignedScaleFactor=1.0
unit.1.1.port.5.b.0.visible=1
unit.1.1.port.5.buscount=1
unit.1.1.port.5.channelcount=16
unit.1.1.port.5.s.0.alias=
unit.1.1.port.5.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.0.name=TriggerPort5[0]
unit.1.1.port.5.s.0.orderindex=-1
unit.1.1.port.5.s.0.visible=1
unit.1.1.port.5.s.1.alias=
unit.1.1.port.5.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.1.name=TriggerPort5[1]
unit.1.1.port.5.s.1.orderindex=-1
unit.1.1.port.5.s.1.visible=1
unit.1.1.port.5.s.10.alias=
unit.1.1.port.5.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.10.name=TriggerPort5[10]
unit.1.1.port.5.s.10.orderindex=-1
unit.1.1.port.5.s.10.visible=1
unit.1.1.port.5.s.11.alias=
unit.1.1.port.5.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.11.name=TriggerPort5[11]
unit.1.1.port.5.s.11.orderindex=-1
unit.1.1.port.5.s.11.visible=1
unit.1.1.port.5.s.12.alias=
unit.1.1.port.5.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.12.name=TriggerPort5[12]
unit.1.1.port.5.s.12.orderindex=-1
unit.1.1.port.5.s.12.visible=1
unit.1.1.port.5.s.13.alias=
unit.1.1.port.5.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.13.name=TriggerPort5[13]
unit.1.1.port.5.s.13.orderindex=-1
unit.1.1.port.5.s.13.visible=1
unit.1.1.port.5.s.14.alias=
unit.1.1.port.5.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.14.name=TriggerPort5[14]
unit.1.1.port.5.s.14.orderindex=-1
unit.1.1.port.5.s.14.visible=1
unit.1.1.port.5.s.15.alias=
unit.1.1.port.5.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.15.name=TriggerPort5[15]
unit.1.1.port.5.s.15.orderindex=-1
unit.1.1.port.5.s.15.visible=1
unit.1.1.port.5.s.2.alias=
unit.1.1.port.5.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.2.name=TriggerPort5[2]
unit.1.1.port.5.s.2.orderindex=-1
unit.1.1.port.5.s.2.visible=1
unit.1.1.port.5.s.3.alias=
unit.1.1.port.5.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.3.name=TriggerPort5[3]
unit.1.1.port.5.s.3.orderindex=-1
unit.1.1.port.5.s.3.visible=1
unit.1.1.port.5.s.4.alias=
unit.1.1.port.5.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.4.name=TriggerPort5[4]
unit.1.1.port.5.s.4.orderindex=-1
unit.1.1.port.5.s.4.visible=1
unit.1.1.port.5.s.5.alias=
unit.1.1.port.5.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.5.name=TriggerPort5[5]
unit.1.1.port.5.s.5.orderindex=-1
unit.1.1.port.5.s.5.visible=1
unit.1.1.port.5.s.6.alias=
unit.1.1.port.5.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.6.name=TriggerPort5[6]
unit.1.1.port.5.s.6.orderindex=-1
unit.1.1.port.5.s.6.visible=1
unit.1.1.port.5.s.7.alias=
unit.1.1.port.5.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.7.name=TriggerPort5[7]
unit.1.1.port.5.s.7.orderindex=-1
unit.1.1.port.5.s.7.visible=1
unit.1.1.port.5.s.8.alias=
unit.1.1.port.5.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.8.name=TriggerPort5[8]
unit.1.1.port.5.s.8.orderindex=-1
unit.1.1.port.5.s.8.visible=1
unit.1.1.port.5.s.9.alias=
unit.1.1.port.5.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.5.s.9.name=TriggerPort5[9]
unit.1.1.port.5.s.9.orderindex=-1
unit.1.1.port.5.s.9.visible=1
unit.1.1.port.6.b.0.alias=
unit.1.1.port.6.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.1.port.6.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.b.0.name=TriggerPort6
unit.1.1.port.6.b.0.orderindex=-1
unit.1.1.port.6.b.0.radix=Hex
unit.1.1.port.6.b.0.signedOffset=0.0
unit.1.1.port.6.b.0.signedPrecision=0
unit.1.1.port.6.b.0.signedScaleFactor=1.0
unit.1.1.port.6.b.0.unsignedOffset=0.0
unit.1.1.port.6.b.0.unsignedPrecision=0
unit.1.1.port.6.b.0.unsignedScaleFactor=1.0
unit.1.1.port.6.b.0.visible=1
unit.1.1.port.6.buscount=1
unit.1.1.port.6.channelcount=16
unit.1.1.port.6.s.0.alias=
unit.1.1.port.6.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.0.name=TriggerPort6[0]
unit.1.1.port.6.s.0.orderindex=-1
unit.1.1.port.6.s.0.visible=1
unit.1.1.port.6.s.1.alias=
unit.1.1.port.6.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.1.name=TriggerPort6[1]
unit.1.1.port.6.s.1.orderindex=-1
unit.1.1.port.6.s.1.visible=1
unit.1.1.port.6.s.10.alias=
unit.1.1.port.6.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.10.name=TriggerPort6[10]
unit.1.1.port.6.s.10.orderindex=-1
unit.1.1.port.6.s.10.visible=1
unit.1.1.port.6.s.11.alias=
unit.1.1.port.6.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.11.name=TriggerPort6[11]
unit.1.1.port.6.s.11.orderindex=-1
unit.1.1.port.6.s.11.visible=1
unit.1.1.port.6.s.12.alias=
unit.1.1.port.6.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.12.name=TriggerPort6[12]
unit.1.1.port.6.s.12.orderindex=-1
unit.1.1.port.6.s.12.visible=1
unit.1.1.port.6.s.13.alias=
unit.1.1.port.6.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.13.name=TriggerPort6[13]
unit.1.1.port.6.s.13.orderindex=-1
unit.1.1.port.6.s.13.visible=1
unit.1.1.port.6.s.14.alias=
unit.1.1.port.6.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.14.name=TriggerPort6[14]
unit.1.1.port.6.s.14.orderindex=-1
unit.1.1.port.6.s.14.visible=1
unit.1.1.port.6.s.15.alias=
unit.1.1.port.6.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.15.name=TriggerPort6[15]
unit.1.1.port.6.s.15.orderindex=-1
unit.1.1.port.6.s.15.visible=1
unit.1.1.port.6.s.2.alias=
unit.1.1.port.6.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.2.name=TriggerPort6[2]
unit.1.1.port.6.s.2.orderindex=-1
unit.1.1.port.6.s.2.visible=1
unit.1.1.port.6.s.3.alias=
unit.1.1.port.6.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.3.name=TriggerPort6[3]
unit.1.1.port.6.s.3.orderindex=-1
unit.1.1.port.6.s.3.visible=1
unit.1.1.port.6.s.4.alias=
unit.1.1.port.6.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.4.name=TriggerPort6[4]
unit.1.1.port.6.s.4.orderindex=-1
unit.1.1.port.6.s.4.visible=1
unit.1.1.port.6.s.5.alias=
unit.1.1.port.6.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.5.name=TriggerPort6[5]
unit.1.1.port.6.s.5.orderindex=-1
unit.1.1.port.6.s.5.visible=1
unit.1.1.port.6.s.6.alias=
unit.1.1.port.6.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.6.name=TriggerPort6[6]
unit.1.1.port.6.s.6.orderindex=-1
unit.1.1.port.6.s.6.visible=1
unit.1.1.port.6.s.7.alias=
unit.1.1.port.6.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.7.name=TriggerPort6[7]
unit.1.1.port.6.s.7.orderindex=-1
unit.1.1.port.6.s.7.visible=1
unit.1.1.port.6.s.8.alias=
unit.1.1.port.6.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.8.name=TriggerPort6[8]
unit.1.1.port.6.s.8.orderindex=-1
unit.1.1.port.6.s.8.visible=1
unit.1.1.port.6.s.9.alias=
unit.1.1.port.6.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.6.s.9.name=TriggerPort6[9]
unit.1.1.port.6.s.9.orderindex=-1
unit.1.1.port.6.s.9.visible=1
unit.1.1.port.7.b.0.alias=
unit.1.1.port.7.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.1.port.7.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.b.0.name=TriggerPort7
unit.1.1.port.7.b.0.orderindex=-1
unit.1.1.port.7.b.0.radix=Hex
unit.1.1.port.7.b.0.signedOffset=0.0
unit.1.1.port.7.b.0.signedPrecision=0
unit.1.1.port.7.b.0.signedScaleFactor=1.0
unit.1.1.port.7.b.0.unsignedOffset=0.0
unit.1.1.port.7.b.0.unsignedPrecision=0
unit.1.1.port.7.b.0.unsignedScaleFactor=1.0
unit.1.1.port.7.b.0.visible=1
unit.1.1.port.7.buscount=1
unit.1.1.port.7.channelcount=16
unit.1.1.port.7.s.0.alias=
unit.1.1.port.7.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.0.name=TriggerPort7[0]
unit.1.1.port.7.s.0.orderindex=-1
unit.1.1.port.7.s.0.visible=1
unit.1.1.port.7.s.1.alias=
unit.1.1.port.7.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.1.name=TriggerPort7[1]
unit.1.1.port.7.s.1.orderindex=-1
unit.1.1.port.7.s.1.visible=1
unit.1.1.port.7.s.10.alias=
unit.1.1.port.7.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.10.name=TriggerPort7[10]
unit.1.1.port.7.s.10.orderindex=-1
unit.1.1.port.7.s.10.visible=1
unit.1.1.port.7.s.11.alias=
unit.1.1.port.7.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.11.name=TriggerPort7[11]
unit.1.1.port.7.s.11.orderindex=-1
unit.1.1.port.7.s.11.visible=1
unit.1.1.port.7.s.12.alias=
unit.1.1.port.7.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.12.name=TriggerPort7[12]
unit.1.1.port.7.s.12.orderindex=-1
unit.1.1.port.7.s.12.visible=1
unit.1.1.port.7.s.13.alias=
unit.1.1.port.7.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.13.name=TriggerPort7[13]
unit.1.1.port.7.s.13.orderindex=-1
unit.1.1.port.7.s.13.visible=1
unit.1.1.port.7.s.14.alias=
unit.1.1.port.7.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.14.name=TriggerPort7[14]
unit.1.1.port.7.s.14.orderindex=-1
unit.1.1.port.7.s.14.visible=1
unit.1.1.port.7.s.15.alias=
unit.1.1.port.7.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.15.name=TriggerPort7[15]
unit.1.1.port.7.s.15.orderindex=-1
unit.1.1.port.7.s.15.visible=1
unit.1.1.port.7.s.2.alias=
unit.1.1.port.7.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.2.name=TriggerPort7[2]
unit.1.1.port.7.s.2.orderindex=-1
unit.1.1.port.7.s.2.visible=1
unit.1.1.port.7.s.3.alias=
unit.1.1.port.7.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.3.name=TriggerPort7[3]
unit.1.1.port.7.s.3.orderindex=-1
unit.1.1.port.7.s.3.visible=1
unit.1.1.port.7.s.4.alias=
unit.1.1.port.7.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.4.name=TriggerPort7[4]
unit.1.1.port.7.s.4.orderindex=-1
unit.1.1.port.7.s.4.visible=1
unit.1.1.port.7.s.5.alias=
unit.1.1.port.7.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.5.name=TriggerPort7[5]
unit.1.1.port.7.s.5.orderindex=-1
unit.1.1.port.7.s.5.visible=1
unit.1.1.port.7.s.6.alias=
unit.1.1.port.7.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.6.name=TriggerPort7[6]
unit.1.1.port.7.s.6.orderindex=-1
unit.1.1.port.7.s.6.visible=1
unit.1.1.port.7.s.7.alias=
unit.1.1.port.7.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.7.name=TriggerPort7[7]
unit.1.1.port.7.s.7.orderindex=-1
unit.1.1.port.7.s.7.visible=1
unit.1.1.port.7.s.8.alias=
unit.1.1.port.7.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.8.name=TriggerPort7[8]
unit.1.1.port.7.s.8.orderindex=-1
unit.1.1.port.7.s.8.visible=1
unit.1.1.port.7.s.9.alias=
unit.1.1.port.7.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.7.s.9.name=TriggerPort7[9]
unit.1.1.port.7.s.9.orderindex=-1
unit.1.1.port.7.s.9.visible=1
unit.1.1.port.8.b.0.alias=
unit.1.1.port.8.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.1.port.8.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.b.0.name=TriggerPort8
unit.1.1.port.8.b.0.orderindex=-1
unit.1.1.port.8.b.0.radix=Hex
unit.1.1.port.8.b.0.signedOffset=0.0
unit.1.1.port.8.b.0.signedPrecision=0
unit.1.1.port.8.b.0.signedScaleFactor=1.0
unit.1.1.port.8.b.0.unsignedOffset=0.0
unit.1.1.port.8.b.0.unsignedPrecision=0
unit.1.1.port.8.b.0.unsignedScaleFactor=1.0
unit.1.1.port.8.b.0.visible=1
unit.1.1.port.8.buscount=1
unit.1.1.port.8.channelcount=16
unit.1.1.port.8.s.0.alias=
unit.1.1.port.8.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.0.name=TriggerPort8[0]
unit.1.1.port.8.s.0.orderindex=-1
unit.1.1.port.8.s.0.visible=1
unit.1.1.port.8.s.1.alias=
unit.1.1.port.8.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.1.name=TriggerPort8[1]
unit.1.1.port.8.s.1.orderindex=-1
unit.1.1.port.8.s.1.visible=1
unit.1.1.port.8.s.10.alias=
unit.1.1.port.8.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.10.name=TriggerPort8[10]
unit.1.1.port.8.s.10.orderindex=-1
unit.1.1.port.8.s.10.visible=1
unit.1.1.port.8.s.11.alias=
unit.1.1.port.8.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.11.name=TriggerPort8[11]
unit.1.1.port.8.s.11.orderindex=-1
unit.1.1.port.8.s.11.visible=1
unit.1.1.port.8.s.12.alias=
unit.1.1.port.8.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.12.name=TriggerPort8[12]
unit.1.1.port.8.s.12.orderindex=-1
unit.1.1.port.8.s.12.visible=1
unit.1.1.port.8.s.13.alias=
unit.1.1.port.8.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.13.name=TriggerPort8[13]
unit.1.1.port.8.s.13.orderindex=-1
unit.1.1.port.8.s.13.visible=1
unit.1.1.port.8.s.14.alias=
unit.1.1.port.8.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.14.name=TriggerPort8[14]
unit.1.1.port.8.s.14.orderindex=-1
unit.1.1.port.8.s.14.visible=1
unit.1.1.port.8.s.15.alias=
unit.1.1.port.8.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.15.name=TriggerPort8[15]
unit.1.1.port.8.s.15.orderindex=-1
unit.1.1.port.8.s.15.visible=1
unit.1.1.port.8.s.2.alias=
unit.1.1.port.8.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.2.name=TriggerPort8[2]
unit.1.1.port.8.s.2.orderindex=-1
unit.1.1.port.8.s.2.visible=1
unit.1.1.port.8.s.3.alias=
unit.1.1.port.8.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.3.name=TriggerPort8[3]
unit.1.1.port.8.s.3.orderindex=-1
unit.1.1.port.8.s.3.visible=1
unit.1.1.port.8.s.4.alias=
unit.1.1.port.8.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.4.name=TriggerPort8[4]
unit.1.1.port.8.s.4.orderindex=-1
unit.1.1.port.8.s.4.visible=1
unit.1.1.port.8.s.5.alias=
unit.1.1.port.8.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.5.name=TriggerPort8[5]
unit.1.1.port.8.s.5.orderindex=-1
unit.1.1.port.8.s.5.visible=1
unit.1.1.port.8.s.6.alias=
unit.1.1.port.8.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.6.name=TriggerPort8[6]
unit.1.1.port.8.s.6.orderindex=-1
unit.1.1.port.8.s.6.visible=1
unit.1.1.port.8.s.7.alias=
unit.1.1.port.8.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.7.name=TriggerPort8[7]
unit.1.1.port.8.s.7.orderindex=-1
unit.1.1.port.8.s.7.visible=1
unit.1.1.port.8.s.8.alias=
unit.1.1.port.8.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.8.name=TriggerPort8[8]
unit.1.1.port.8.s.8.orderindex=-1
unit.1.1.port.8.s.8.visible=1
unit.1.1.port.8.s.9.alias=
unit.1.1.port.8.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.8.s.9.name=TriggerPort8[9]
unit.1.1.port.8.s.9.orderindex=-1
unit.1.1.port.8.s.9.visible=1
unit.1.1.port.9.b.0.alias=
unit.1.1.port.9.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.1.port.9.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.b.0.name=TriggerPort9
unit.1.1.port.9.b.0.orderindex=-1
unit.1.1.port.9.b.0.radix=Hex
unit.1.1.port.9.b.0.signedOffset=0.0
unit.1.1.port.9.b.0.signedPrecision=0
unit.1.1.port.9.b.0.signedScaleFactor=1.0
unit.1.1.port.9.b.0.unsignedOffset=0.0
unit.1.1.port.9.b.0.unsignedPrecision=0
unit.1.1.port.9.b.0.unsignedScaleFactor=1.0
unit.1.1.port.9.b.0.visible=1
unit.1.1.port.9.buscount=1
unit.1.1.port.9.channelcount=16
unit.1.1.port.9.s.0.alias=
unit.1.1.port.9.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.0.name=TriggerPort9[0]
unit.1.1.port.9.s.0.orderindex=-1
unit.1.1.port.9.s.0.visible=1
unit.1.1.port.9.s.1.alias=
unit.1.1.port.9.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.1.name=TriggerPort9[1]
unit.1.1.port.9.s.1.orderindex=-1
unit.1.1.port.9.s.1.visible=1
unit.1.1.port.9.s.10.alias=
unit.1.1.port.9.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.10.name=TriggerPort9[10]
unit.1.1.port.9.s.10.orderindex=-1
unit.1.1.port.9.s.10.visible=1
unit.1.1.port.9.s.11.alias=
unit.1.1.port.9.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.11.name=TriggerPort9[11]
unit.1.1.port.9.s.11.orderindex=-1
unit.1.1.port.9.s.11.visible=1
unit.1.1.port.9.s.12.alias=
unit.1.1.port.9.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.12.name=TriggerPort9[12]
unit.1.1.port.9.s.12.orderindex=-1
unit.1.1.port.9.s.12.visible=1
unit.1.1.port.9.s.13.alias=
unit.1.1.port.9.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.13.name=TriggerPort9[13]
unit.1.1.port.9.s.13.orderindex=-1
unit.1.1.port.9.s.13.visible=1
unit.1.1.port.9.s.14.alias=
unit.1.1.port.9.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.14.name=TriggerPort9[14]
unit.1.1.port.9.s.14.orderindex=-1
unit.1.1.port.9.s.14.visible=1
unit.1.1.port.9.s.15.alias=
unit.1.1.port.9.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.15.name=TriggerPort9[15]
unit.1.1.port.9.s.15.orderindex=-1
unit.1.1.port.9.s.15.visible=1
unit.1.1.port.9.s.2.alias=
unit.1.1.port.9.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.2.name=TriggerPort9[2]
unit.1.1.port.9.s.2.orderindex=-1
unit.1.1.port.9.s.2.visible=1
unit.1.1.port.9.s.3.alias=
unit.1.1.port.9.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.3.name=TriggerPort9[3]
unit.1.1.port.9.s.3.orderindex=-1
unit.1.1.port.9.s.3.visible=1
unit.1.1.port.9.s.4.alias=
unit.1.1.port.9.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.4.name=TriggerPort9[4]
unit.1.1.port.9.s.4.orderindex=-1
unit.1.1.port.9.s.4.visible=1
unit.1.1.port.9.s.5.alias=
unit.1.1.port.9.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.5.name=TriggerPort9[5]
unit.1.1.port.9.s.5.orderindex=-1
unit.1.1.port.9.s.5.visible=1
unit.1.1.port.9.s.6.alias=
unit.1.1.port.9.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.6.name=TriggerPort9[6]
unit.1.1.port.9.s.6.orderindex=-1
unit.1.1.port.9.s.6.visible=1
unit.1.1.port.9.s.7.alias=
unit.1.1.port.9.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.7.name=TriggerPort9[7]
unit.1.1.port.9.s.7.orderindex=-1
unit.1.1.port.9.s.7.visible=1
unit.1.1.port.9.s.8.alias=
unit.1.1.port.9.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.8.name=TriggerPort9[8]
unit.1.1.port.9.s.8.orderindex=-1
unit.1.1.port.9.s.8.visible=1
unit.1.1.port.9.s.9.alias=
unit.1.1.port.9.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.1.port.9.s.9.name=TriggerPort9[9]
unit.1.1.port.9.s.9.orderindex=-1
unit.1.1.port.9.s.9.visible=1
unit.1.1.portcount=11
unit.1.1.rep_trigger.clobber=1
unit.1.1.rep_trigger.dir=/home/aamendon/reports/phdtheses/aamendon/code/2010/sata_core/VERSION4/base_linux_nfs_fis_retry_REG_XRDY/chipscope
unit.1.1.rep_trigger.filename=waveform
unit.1.1.rep_trigger.format=ASCII
unit.1.1.rep_trigger.loggingEnabled=0
unit.1.1.rep_trigger.signals=All Signals/Buses
unit.1.1.samplesPerTrigger=1
unit.1.1.triggerCapture=1
unit.1.1.triggerNSamplesTS=0
unit.1.1.triggerPosition=0
unit.1.1.triggerWindowCount=1
unit.1.1.triggerWindowDepth=1024
unit.1.1.triggerWindowTS=0
unit.1.1.username=MyILA1
unit.1.2.0.HEIGHT0=0.5340454
unit.1.2.0.TriggerRow0=1
unit.1.2.0.TriggerRow1=1
unit.1.2.0.TriggerRow2=1
unit.1.2.0.WIDTH0=0.90976745
unit.1.2.0.X0=0.03348837
unit.1.2.0.Y0=0.1588785
unit.1.2.1.HEIGHT1=0.7036048
unit.1.2.1.WIDTH1=0.92465115
unit.1.2.1.X1=0.048372094
unit.1.2.1.Y1=0.07610147
unit.1.2.MFBitsA0=0010
unit.1.2.MFBitsA1=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.2.MFBitsA10=1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.2.MFBitsA11=XXXXXXXX
unit.1.2.MFBitsA12=1110000000000000
unit.1.2.MFBitsA13=XXXXXXXXXXXXXXXX
unit.1.2.MFBitsA14=XXXXXXXXXXXXXXXX
unit.1.2.MFBitsA15=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.2.MFBitsA2=10000000
unit.1.2.MFBitsA3=0110
unit.1.2.MFBitsA4=XXXX
unit.1.2.MFBitsA5=XXXXXXXX
unit.1.2.MFBitsA6=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.2.MFBitsA7=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.2.MFBitsA8=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.2.MFBitsA9=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.2.MFBitsB0=0000
unit.1.2.MFBitsB1=00000000000000000000000000000000
unit.1.2.MFBitsB10=00000000000000000000000000000000
unit.1.2.MFBitsB11=00000000
unit.1.2.MFBitsB12=0000000000000000
unit.1.2.MFBitsB13=0000000000000000
unit.1.2.MFBitsB14=0000000000000000
unit.1.2.MFBitsB15=00000000000000000000000000000000
unit.1.2.MFBitsB2=00000000
unit.1.2.MFBitsB3=0000
unit.1.2.MFBitsB4=0000
unit.1.2.MFBitsB5=00000000
unit.1.2.MFBitsB6=00000000000000000000000000000000
unit.1.2.MFBitsB7=00000000000000000000000000000000
unit.1.2.MFBitsB8=00000000000000000000000000000000
unit.1.2.MFBitsB9=00000000000000000000000000000000
unit.1.2.MFCompareA0=0
unit.1.2.MFCompareA1=0
unit.1.2.MFCompareA10=0
unit.1.2.MFCompareA11=0
unit.1.2.MFCompareA12=0
unit.1.2.MFCompareA13=0
unit.1.2.MFCompareA14=0
unit.1.2.MFCompareA15=0
unit.1.2.MFCompareA2=0
unit.1.2.MFCompareA3=0
unit.1.2.MFCompareA4=0
unit.1.2.MFCompareA5=0
unit.1.2.MFCompareA6=0
unit.1.2.MFCompareA7=0
unit.1.2.MFCompareA8=0
unit.1.2.MFCompareA9=0
unit.1.2.MFCompareB0=999
unit.1.2.MFCompareB1=999
unit.1.2.MFCompareB10=999
unit.1.2.MFCompareB11=999
unit.1.2.MFCompareB12=999
unit.1.2.MFCompareB13=999
unit.1.2.MFCompareB14=999
unit.1.2.MFCompareB15=999
unit.1.2.MFCompareB2=999
unit.1.2.MFCompareB3=999
unit.1.2.MFCompareB4=999
unit.1.2.MFCompareB5=999
unit.1.2.MFCompareB6=999
unit.1.2.MFCompareB7=999
unit.1.2.MFCompareB8=999
unit.1.2.MFCompareB9=999
unit.1.2.MFCount=16
unit.1.2.MFDisplay0=1
unit.1.2.MFDisplay1=0
unit.1.2.MFDisplay10=0
unit.1.2.MFDisplay11=0
unit.1.2.MFDisplay12=4
unit.1.2.MFDisplay13=0
unit.1.2.MFDisplay14=0
unit.1.2.MFDisplay15=0
unit.1.2.MFDisplay2=4
unit.1.2.MFDisplay3=1
unit.1.2.MFDisplay4=0
unit.1.2.MFDisplay5=0
unit.1.2.MFDisplay6=0
unit.1.2.MFDisplay7=0
unit.1.2.MFDisplay8=0
unit.1.2.MFDisplay9=0
unit.1.2.MFEventType0=3
unit.1.2.MFEventType1=3
unit.1.2.MFEventType10=3
unit.1.2.MFEventType11=3
unit.1.2.MFEventType12=3
unit.1.2.MFEventType13=3
unit.1.2.MFEventType14=3
unit.1.2.MFEventType15=3
unit.1.2.MFEventType2=3
unit.1.2.MFEventType3=3
unit.1.2.MFEventType4=3
unit.1.2.MFEventType5=3
unit.1.2.MFEventType6=3
unit.1.2.MFEventType7=3
unit.1.2.MFEventType8=3
unit.1.2.MFEventType9=3
unit.1.2.RunMode=SINGLE RUN
unit.1.2.SQCondition=All Data
unit.1.2.SQContiguous0=0
unit.1.2.SequencerOn=0
unit.1.2.TCActive=0
unit.1.2.TCAdvanced0=0
unit.1.2.TCCondition0_0=M3 && M10
unit.1.2.TCCondition0_1=
unit.1.2.TCConditionType0=0
unit.1.2.TCCount=1
unit.1.2.TCEventCount0=1
unit.1.2.TCEventType0=3
unit.1.2.TCName0=TriggerCondition0
unit.1.2.TCOutputEnable0=0
unit.1.2.TCOutputHigh0=1
unit.1.2.TCOutputMode0=0
unit.1.2.browser_tree_state<Data\ Port>=1
unit.1.2.browser_tree_state<TRIG10>=1
unit.1.2.browser_tree_state<Trigger\ Ports>=1
unit.1.2.coretype=ILA
unit.1.2.eventCount0=1
unit.1.2.eventCount1=1
unit.1.2.eventCount10=1
unit.1.2.eventCount11=1
unit.1.2.eventCount12=1
unit.1.2.eventCount13=1
unit.1.2.eventCount14=1
unit.1.2.eventCount15=1
unit.1.2.eventCount2=1
unit.1.2.eventCount3=1
unit.1.2.eventCount4=1
unit.1.2.eventCount5=1
unit.1.2.eventCount6=1
unit.1.2.eventCount7=1
unit.1.2.eventCount8=1
unit.1.2.eventCount9=1
unit.1.2.port.-1.b.0.alias=COMMAND_COUNT
unit.1.2.port.-1.b.0.channellist=36 37 38 39 40 41 42 43
unit.1.2.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.0.name=BUS_2
unit.1.2.port.-1.b.0.orderindex=310
unit.1.2.port.-1.b.0.radix=Unsigned
unit.1.2.port.-1.b.0.signedOffset=0.0
unit.1.2.port.-1.b.0.signedPrecision=0
unit.1.2.port.-1.b.0.signedScaleFactor=1.0
unit.1.2.port.-1.b.0.tokencount=0
unit.1.2.port.-1.b.0.unsignedOffset=0.0
unit.1.2.port.-1.b.0.unsignedPrecision=0
unit.1.2.port.-1.b.0.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.0.visible=1
unit.1.2.port.-1.b.1.alias=DATA_FIS_DWORD_COUNT
unit.1.2.port.-1.b.1.channellist=244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259
unit.1.2.port.-1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.1.name=BUS_13
unit.1.2.port.-1.b.1.orderindex=321
unit.1.2.port.-1.b.1.radix=Unsigned
unit.1.2.port.-1.b.1.signedOffset=0.0
unit.1.2.port.-1.b.1.signedPrecision=0
unit.1.2.port.-1.b.1.signedScaleFactor=1.0
unit.1.2.port.-1.b.1.tokencount=0
unit.1.2.port.-1.b.1.unsignedOffset=0.0
unit.1.2.port.-1.b.1.unsignedPrecision=0
unit.1.2.port.-1.b.1.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.1.visible=1
unit.1.2.port.-1.b.10.alias=RX_DATAIN
unit.1.2.port.-1.b.10.channellist=60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91
unit.1.2.port.-1.b.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.10.name=BUS_6
unit.1.2.port.-1.b.10.orderindex=314
unit.1.2.port.-1.b.10.radix=Hex
unit.1.2.port.-1.b.10.signedOffset=0.0
unit.1.2.port.-1.b.10.signedPrecision=0
unit.1.2.port.-1.b.10.signedScaleFactor=1.0
unit.1.2.port.-1.b.10.tokencount=0
unit.1.2.port.-1.b.10.unsignedOffset=0.0
unit.1.2.port.-1.b.10.unsignedPrecision=0
unit.1.2.port.-1.b.10.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.10.visible=1
unit.1.2.port.-1.b.11.alias=RX_FIFO_DOUT
unit.1.2.port.-1.b.11.channellist=92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123
unit.1.2.port.-1.b.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.11.name=BUS_7
unit.1.2.port.-1.b.11.orderindex=315
unit.1.2.port.-1.b.11.radix=Hex
unit.1.2.port.-1.b.11.signedOffset=0.0
unit.1.2.port.-1.b.11.signedPrecision=0
unit.1.2.port.-1.b.11.signedScaleFactor=1.0
unit.1.2.port.-1.b.11.tokencount=0
unit.1.2.port.-1.b.11.unsignedOffset=0.0
unit.1.2.port.-1.b.11.unsignedPrecision=0
unit.1.2.port.-1.b.11.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.11.visible=1
unit.1.2.port.-1.b.12.alias=RX_FRAME_VALUE
unit.1.2.port.-1.b.12.channellist=0 1 2 3
unit.1.2.port.-1.b.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.12.name=BUS_0
unit.1.2.port.-1.b.12.orderindex=308
unit.1.2.port.-1.b.12.radix=Hex
unit.1.2.port.-1.b.12.signedOffset=0.0
unit.1.2.port.-1.b.12.signedPrecision=0
unit.1.2.port.-1.b.12.signedScaleFactor=1.0
unit.1.2.port.-1.b.12.tokencount=0
unit.1.2.port.-1.b.12.unsignedOffset=0.0
unit.1.2.port.-1.b.12.unsignedPrecision=0
unit.1.2.port.-1.b.12.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.12.visible=1
unit.1.2.port.-1.b.13.alias=RX_SECTOR_COUNT
unit.1.2.port.-1.b.13.channellist=228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243
unit.1.2.port.-1.b.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.13.name=BUS_12
unit.1.2.port.-1.b.13.orderindex=320
unit.1.2.port.-1.b.13.radix=Unsigned
unit.1.2.port.-1.b.13.signedOffset=0.0
unit.1.2.port.-1.b.13.signedPrecision=0
unit.1.2.port.-1.b.13.signedScaleFactor=1.0
unit.1.2.port.-1.b.13.tokencount=0
unit.1.2.port.-1.b.13.unsignedOffset=0.0
unit.1.2.port.-1.b.13.unsignedPrecision=0
unit.1.2.port.-1.b.13.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.13.visible=1
unit.1.2.port.-1.b.14.alias=TRIG10
unit.1.2.port.-1.b.14.channellist=188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219
unit.1.2.port.-1.b.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.14.name=BUS_10
unit.1.2.port.-1.b.14.orderindex=318
unit.1.2.port.-1.b.14.radix=Hex
unit.1.2.port.-1.b.14.signedOffset=0.0
unit.1.2.port.-1.b.14.signedPrecision=0
unit.1.2.port.-1.b.14.signedScaleFactor=1.0
unit.1.2.port.-1.b.14.tokencount=0
unit.1.2.port.-1.b.14.unsignedOffset=0.0
unit.1.2.port.-1.b.14.unsignedPrecision=0
unit.1.2.port.-1.b.14.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.14.visible=1
unit.1.2.port.-1.b.15.alias=TX_DATAOUT
unit.1.2.port.-1.b.15.channellist=4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35
unit.1.2.port.-1.b.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.15.name=BUS_1
unit.1.2.port.-1.b.15.orderindex=309
unit.1.2.port.-1.b.15.radix=Hex
unit.1.2.port.-1.b.15.signedOffset=0.0
unit.1.2.port.-1.b.15.signedPrecision=0
unit.1.2.port.-1.b.15.signedScaleFactor=1.0
unit.1.2.port.-1.b.15.tokencount=0
unit.1.2.port.-1.b.15.unsignedOffset=0.0
unit.1.2.port.-1.b.15.unsignedPrecision=0
unit.1.2.port.-1.b.15.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.15.visible=1
unit.1.2.port.-1.b.2.alias=DWORD_COUNT
unit.1.2.port.-1.b.2.channellist=220 221 222 223 224 225 226 227
unit.1.2.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.2.name=BUS_11
unit.1.2.port.-1.b.2.orderindex=319
unit.1.2.port.-1.b.2.radix=Hex
unit.1.2.port.-1.b.2.signedOffset=0.0
unit.1.2.port.-1.b.2.signedPrecision=0
unit.1.2.port.-1.b.2.signedScaleFactor=1.0
unit.1.2.port.-1.b.2.tokencount=0
unit.1.2.port.-1.b.2.unsignedOffset=0.0
unit.1.2.port.-1.b.2.unsignedPrecision=0
unit.1.2.port.-1.b.2.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.2.visible=1
unit.1.2.port.-1.b.3.alias=DWORD_COUNT_INIT_VALUE
unit.1.2.port.-1.b.3.channellist=276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307
unit.1.2.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.3.name=BUS_15
unit.1.2.port.-1.b.3.orderindex=323
unit.1.2.port.-1.b.3.radix=Unsigned
unit.1.2.port.-1.b.3.signedOffset=0.0
unit.1.2.port.-1.b.3.signedPrecision=0
unit.1.2.port.-1.b.3.signedScaleFactor=1.0
unit.1.2.port.-1.b.3.tokencount=0
unit.1.2.port.-1.b.3.unsignedOffset=0.0
unit.1.2.port.-1.b.3.unsignedPrecision=0
unit.1.2.port.-1.b.3.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.3.visible=1
unit.1.2.port.-1.b.4.alias=DWORD_COUNT_VALUE
unit.1.2.port.-1.b.4.channellist=260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275
unit.1.2.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.4.name=BUS_14
unit.1.2.port.-1.b.4.orderindex=322
unit.1.2.port.-1.b.4.radix=Unsigned
unit.1.2.port.-1.b.4.signedOffset=0.0
unit.1.2.port.-1.b.4.signedPrecision=0
unit.1.2.port.-1.b.4.signedScaleFactor=1.0
unit.1.2.port.-1.b.4.tokencount=0
unit.1.2.port.-1.b.4.unsignedOffset=0.0
unit.1.2.port.-1.b.4.unsignedPrecision=0
unit.1.2.port.-1.b.4.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.4.visible=1
unit.1.2.port.-1.b.5.alias=MASTER_FSM_VALUE
unit.1.2.port.-1.b.5.channellist=44 45 46 47
unit.1.2.port.-1.b.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.5.name=BUS_3
unit.1.2.port.-1.b.5.orderindex=311
unit.1.2.port.-1.b.5.radix=Hex
unit.1.2.port.-1.b.5.signedOffset=0.0
unit.1.2.port.-1.b.5.signedPrecision=0
unit.1.2.port.-1.b.5.signedScaleFactor=1.0
unit.1.2.port.-1.b.5.tokencount=0
unit.1.2.port.-1.b.5.unsignedOffset=0.0
unit.1.2.port.-1.b.5.unsignedPrecision=0
unit.1.2.port.-1.b.5.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.5.visible=1
unit.1.2.port.-1.b.6.alias=OOB_STATE
unit.1.2.port.-1.b.6.channellist=52 53 54 55 56 57 58 59
unit.1.2.port.-1.b.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.6.name=BUS_5
unit.1.2.port.-1.b.6.orderindex=313
unit.1.2.port.-1.b.6.radix=Hex
unit.1.2.port.-1.b.6.signedOffset=0.0
unit.1.2.port.-1.b.6.signedPrecision=0
unit.1.2.port.-1.b.6.signedScaleFactor=1.0
unit.1.2.port.-1.b.6.tokencount=0
unit.1.2.port.-1.b.6.unsignedOffset=0.0
unit.1.2.port.-1.b.6.unsignedPrecision=0
unit.1.2.port.-1.b.6.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.6.visible=1
unit.1.2.port.-1.b.7.alias=READ_FIFO_DIN
unit.1.2.port.-1.b.7.channellist=124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155
unit.1.2.port.-1.b.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.7.name=BUS_8
unit.1.2.port.-1.b.7.orderindex=316
unit.1.2.port.-1.b.7.radix=Unsigned
unit.1.2.port.-1.b.7.signedOffset=0.0
unit.1.2.port.-1.b.7.signedPrecision=0
unit.1.2.port.-1.b.7.signedScaleFactor=1.0
unit.1.2.port.-1.b.7.tokencount=0
unit.1.2.port.-1.b.7.unsignedOffset=0.0
unit.1.2.port.-1.b.7.unsignedPrecision=0
unit.1.2.port.-1.b.7.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.7.visible=1
unit.1.2.port.-1.b.8.alias=READ_FIFO_DOUT
unit.1.2.port.-1.b.8.channellist=156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187
unit.1.2.port.-1.b.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.8.name=BUS_9
unit.1.2.port.-1.b.8.orderindex=317
unit.1.2.port.-1.b.8.radix=Unsigned
unit.1.2.port.-1.b.8.signedOffset=0.0
unit.1.2.port.-1.b.8.signedPrecision=0
unit.1.2.port.-1.b.8.signedScaleFactor=1.0
unit.1.2.port.-1.b.8.tokencount=0
unit.1.2.port.-1.b.8.unsignedOffset=0.0
unit.1.2.port.-1.b.8.unsignedPrecision=0
unit.1.2.port.-1.b.8.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.8.visible=1
unit.1.2.port.-1.b.9.alias=RX_CHARISK_IN
unit.1.2.port.-1.b.9.channellist=48 49 50 51
unit.1.2.port.-1.b.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.b.9.name=BUS_4
unit.1.2.port.-1.b.9.orderindex=312
unit.1.2.port.-1.b.9.radix=Hex
unit.1.2.port.-1.b.9.signedOffset=0.0
unit.1.2.port.-1.b.9.signedPrecision=0
unit.1.2.port.-1.b.9.signedScaleFactor=1.0
unit.1.2.port.-1.b.9.tokencount=0
unit.1.2.port.-1.b.9.unsignedOffset=0.0
unit.1.2.port.-1.b.9.unsignedPrecision=0
unit.1.2.port.-1.b.9.unsignedScaleFactor=1.0
unit.1.2.port.-1.b.9.visible=1
unit.1.2.port.-1.buscount=16
unit.1.2.port.-1.channelcount=308
unit.1.2.port.-1.s.0.alias=
unit.1.2.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.0.name=RX_FRAME_VALUE[0]
unit.1.2.port.-1.s.0.orderindex=-1
unit.1.2.port.-1.s.0.visible=1
unit.1.2.port.-1.s.1.alias=
unit.1.2.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.1.name=RX_FRAME_VALUE[1]
unit.1.2.port.-1.s.1.orderindex=-1
unit.1.2.port.-1.s.1.visible=1
unit.1.2.port.-1.s.10.alias=
unit.1.2.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.10.name=TX_DATAOUT[6]
unit.1.2.port.-1.s.10.orderindex=-1
unit.1.2.port.-1.s.10.visible=1
unit.1.2.port.-1.s.100.alias=
unit.1.2.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.100.name=RX_FIFO_DOUT[8]
unit.1.2.port.-1.s.100.orderindex=-1
unit.1.2.port.-1.s.100.visible=1
unit.1.2.port.-1.s.101.alias=
unit.1.2.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.101.name=RX_FIFO_DOUT[9]
unit.1.2.port.-1.s.101.orderindex=-1
unit.1.2.port.-1.s.101.visible=1
unit.1.2.port.-1.s.102.alias=
unit.1.2.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.102.name=RX_FIFO_DOUT[10]
unit.1.2.port.-1.s.102.orderindex=-1
unit.1.2.port.-1.s.102.visible=1
unit.1.2.port.-1.s.103.alias=
unit.1.2.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.103.name=RX_FIFO_DOUT[11]
unit.1.2.port.-1.s.103.orderindex=-1
unit.1.2.port.-1.s.103.visible=1
unit.1.2.port.-1.s.104.alias=
unit.1.2.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.104.name=RX_FIFO_DOUT[12]
unit.1.2.port.-1.s.104.orderindex=-1
unit.1.2.port.-1.s.104.visible=1
unit.1.2.port.-1.s.105.alias=
unit.1.2.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.105.name=RX_FIFO_DOUT[13]
unit.1.2.port.-1.s.105.orderindex=-1
unit.1.2.port.-1.s.105.visible=1
unit.1.2.port.-1.s.106.alias=
unit.1.2.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.106.name=RX_FIFO_DOUT[14]
unit.1.2.port.-1.s.106.orderindex=-1
unit.1.2.port.-1.s.106.visible=1
unit.1.2.port.-1.s.107.alias=
unit.1.2.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.107.name=RX_FIFO_DOUT[15]
unit.1.2.port.-1.s.107.orderindex=-1
unit.1.2.port.-1.s.107.visible=1
unit.1.2.port.-1.s.108.alias=
unit.1.2.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.108.name=RX_FIFO_DOUT[16]
unit.1.2.port.-1.s.108.orderindex=-1
unit.1.2.port.-1.s.108.visible=1
unit.1.2.port.-1.s.109.alias=
unit.1.2.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.109.name=RX_FIFO_DOUT[17]
unit.1.2.port.-1.s.109.orderindex=-1
unit.1.2.port.-1.s.109.visible=1
unit.1.2.port.-1.s.11.alias=
unit.1.2.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.11.name=TX_DATAOUT[7]
unit.1.2.port.-1.s.11.orderindex=-1
unit.1.2.port.-1.s.11.visible=1
unit.1.2.port.-1.s.110.alias=
unit.1.2.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.110.name=RX_FIFO_DOUT[18]
unit.1.2.port.-1.s.110.orderindex=-1
unit.1.2.port.-1.s.110.visible=1
unit.1.2.port.-1.s.111.alias=
unit.1.2.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.111.name=RX_FIFO_DOUT[19]
unit.1.2.port.-1.s.111.orderindex=-1
unit.1.2.port.-1.s.111.visible=1
unit.1.2.port.-1.s.112.alias=
unit.1.2.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.112.name=RX_FIFO_DOUT[20]
unit.1.2.port.-1.s.112.orderindex=-1
unit.1.2.port.-1.s.112.visible=1
unit.1.2.port.-1.s.113.alias=
unit.1.2.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.113.name=RX_FIFO_DOUT[21]
unit.1.2.port.-1.s.113.orderindex=-1
unit.1.2.port.-1.s.113.visible=1
unit.1.2.port.-1.s.114.alias=
unit.1.2.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.114.name=RX_FIFO_DOUT[22]
unit.1.2.port.-1.s.114.orderindex=-1
unit.1.2.port.-1.s.114.visible=1
unit.1.2.port.-1.s.115.alias=
unit.1.2.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.115.name=RX_FIFO_DOUT[23]
unit.1.2.port.-1.s.115.orderindex=-1
unit.1.2.port.-1.s.115.visible=1
unit.1.2.port.-1.s.116.alias=
unit.1.2.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.116.name=RX_FIFO_DOUT[24]
unit.1.2.port.-1.s.116.orderindex=-1
unit.1.2.port.-1.s.116.visible=1
unit.1.2.port.-1.s.117.alias=
unit.1.2.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.117.name=RX_FIFO_DOUT[25]
unit.1.2.port.-1.s.117.orderindex=-1
unit.1.2.port.-1.s.117.visible=1
unit.1.2.port.-1.s.118.alias=
unit.1.2.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.118.name=RX_FIFO_DOUT[26]
unit.1.2.port.-1.s.118.orderindex=-1
unit.1.2.port.-1.s.118.visible=1
unit.1.2.port.-1.s.119.alias=
unit.1.2.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.119.name=RX_FIFO_DOUT[27]
unit.1.2.port.-1.s.119.orderindex=-1
unit.1.2.port.-1.s.119.visible=1
unit.1.2.port.-1.s.12.alias=
unit.1.2.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.12.name=TX_DATAOUT[8]
unit.1.2.port.-1.s.12.orderindex=-1
unit.1.2.port.-1.s.12.visible=1
unit.1.2.port.-1.s.120.alias=
unit.1.2.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.120.name=RX_FIFO_DOUT[28]
unit.1.2.port.-1.s.120.orderindex=-1
unit.1.2.port.-1.s.120.visible=1
unit.1.2.port.-1.s.121.alias=
unit.1.2.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.121.name=RX_FIFO_DOUT[29]
unit.1.2.port.-1.s.121.orderindex=-1
unit.1.2.port.-1.s.121.visible=1
unit.1.2.port.-1.s.122.alias=
unit.1.2.port.-1.s.122.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.122.name=RX_FIFO_DOUT[30]
unit.1.2.port.-1.s.122.orderindex=-1
unit.1.2.port.-1.s.122.visible=1
unit.1.2.port.-1.s.123.alias=
unit.1.2.port.-1.s.123.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.123.name=RX_FIFO_DOUT[31]
unit.1.2.port.-1.s.123.orderindex=-1
unit.1.2.port.-1.s.123.visible=1
unit.1.2.port.-1.s.124.alias=
unit.1.2.port.-1.s.124.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.124.name=READ_FIFO_DIN[0]
unit.1.2.port.-1.s.124.orderindex=-1
unit.1.2.port.-1.s.124.visible=1
unit.1.2.port.-1.s.125.alias=
unit.1.2.port.-1.s.125.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.125.name=READ_FIFO_DIN[1]
unit.1.2.port.-1.s.125.orderindex=-1
unit.1.2.port.-1.s.125.visible=1
unit.1.2.port.-1.s.126.alias=
unit.1.2.port.-1.s.126.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.126.name=READ_FIFO_DIN[2]
unit.1.2.port.-1.s.126.orderindex=-1
unit.1.2.port.-1.s.126.visible=1
unit.1.2.port.-1.s.127.alias=
unit.1.2.port.-1.s.127.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.127.name=READ_FIFO_DIN[3]
unit.1.2.port.-1.s.127.orderindex=-1
unit.1.2.port.-1.s.127.visible=1
unit.1.2.port.-1.s.128.alias=
unit.1.2.port.-1.s.128.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.128.name=READ_FIFO_DIN[4]
unit.1.2.port.-1.s.128.orderindex=-1
unit.1.2.port.-1.s.128.visible=1
unit.1.2.port.-1.s.129.alias=
unit.1.2.port.-1.s.129.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.129.name=READ_FIFO_DIN[5]
unit.1.2.port.-1.s.129.orderindex=-1
unit.1.2.port.-1.s.129.visible=1
unit.1.2.port.-1.s.13.alias=
unit.1.2.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.13.name=TX_DATAOUT[9]
unit.1.2.port.-1.s.13.orderindex=-1
unit.1.2.port.-1.s.13.visible=1
unit.1.2.port.-1.s.130.alias=
unit.1.2.port.-1.s.130.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.130.name=READ_FIFO_DIN[6]
unit.1.2.port.-1.s.130.orderindex=-1
unit.1.2.port.-1.s.130.visible=1
unit.1.2.port.-1.s.131.alias=
unit.1.2.port.-1.s.131.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.131.name=READ_FIFO_DIN[7]
unit.1.2.port.-1.s.131.orderindex=-1
unit.1.2.port.-1.s.131.visible=1
unit.1.2.port.-1.s.132.alias=
unit.1.2.port.-1.s.132.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.132.name=READ_FIFO_DIN[8]
unit.1.2.port.-1.s.132.orderindex=-1
unit.1.2.port.-1.s.132.visible=1
unit.1.2.port.-1.s.133.alias=
unit.1.2.port.-1.s.133.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.133.name=READ_FIFO_DIN[9]
unit.1.2.port.-1.s.133.orderindex=-1
unit.1.2.port.-1.s.133.visible=1
unit.1.2.port.-1.s.134.alias=
unit.1.2.port.-1.s.134.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.134.name=READ_FIFO_DIN[10]
unit.1.2.port.-1.s.134.orderindex=-1
unit.1.2.port.-1.s.134.visible=1
unit.1.2.port.-1.s.135.alias=
unit.1.2.port.-1.s.135.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.135.name=READ_FIFO_DIN[11]
unit.1.2.port.-1.s.135.orderindex=-1
unit.1.2.port.-1.s.135.visible=1
unit.1.2.port.-1.s.136.alias=
unit.1.2.port.-1.s.136.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.136.name=READ_FIFO_DIN[12]
unit.1.2.port.-1.s.136.orderindex=-1
unit.1.2.port.-1.s.136.visible=1
unit.1.2.port.-1.s.137.alias=
unit.1.2.port.-1.s.137.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.137.name=READ_FIFO_DIN[13]
unit.1.2.port.-1.s.137.orderindex=-1
unit.1.2.port.-1.s.137.visible=1
unit.1.2.port.-1.s.138.alias=
unit.1.2.port.-1.s.138.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.138.name=READ_FIFO_DIN[14]
unit.1.2.port.-1.s.138.orderindex=-1
unit.1.2.port.-1.s.138.visible=1
unit.1.2.port.-1.s.139.alias=
unit.1.2.port.-1.s.139.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.139.name=READ_FIFO_DIN[15]
unit.1.2.port.-1.s.139.orderindex=-1
unit.1.2.port.-1.s.139.visible=1
unit.1.2.port.-1.s.14.alias=
unit.1.2.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.14.name=TX_DATAOUT[10]
unit.1.2.port.-1.s.14.orderindex=-1
unit.1.2.port.-1.s.14.visible=1
unit.1.2.port.-1.s.140.alias=
unit.1.2.port.-1.s.140.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.140.name=READ_FIFO_DIN[16]
unit.1.2.port.-1.s.140.orderindex=-1
unit.1.2.port.-1.s.140.visible=1
unit.1.2.port.-1.s.141.alias=
unit.1.2.port.-1.s.141.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.141.name=READ_FIFO_DIN[17]
unit.1.2.port.-1.s.141.orderindex=-1
unit.1.2.port.-1.s.141.visible=1
unit.1.2.port.-1.s.142.alias=
unit.1.2.port.-1.s.142.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.142.name=READ_FIFO_DIN[18]
unit.1.2.port.-1.s.142.orderindex=-1
unit.1.2.port.-1.s.142.visible=1
unit.1.2.port.-1.s.143.alias=
unit.1.2.port.-1.s.143.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.143.name=READ_FIFO_DIN[19]
unit.1.2.port.-1.s.143.orderindex=-1
unit.1.2.port.-1.s.143.visible=1
unit.1.2.port.-1.s.144.alias=
unit.1.2.port.-1.s.144.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.144.name=READ_FIFO_DIN[20]
unit.1.2.port.-1.s.144.orderindex=-1
unit.1.2.port.-1.s.144.visible=1
unit.1.2.port.-1.s.145.alias=
unit.1.2.port.-1.s.145.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.145.name=READ_FIFO_DIN[21]
unit.1.2.port.-1.s.145.orderindex=-1
unit.1.2.port.-1.s.145.visible=1
unit.1.2.port.-1.s.146.alias=
unit.1.2.port.-1.s.146.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.146.name=READ_FIFO_DIN[22]
unit.1.2.port.-1.s.146.orderindex=-1
unit.1.2.port.-1.s.146.visible=1
unit.1.2.port.-1.s.147.alias=
unit.1.2.port.-1.s.147.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.147.name=READ_FIFO_DIN[23]
unit.1.2.port.-1.s.147.orderindex=-1
unit.1.2.port.-1.s.147.visible=1
unit.1.2.port.-1.s.148.alias=
unit.1.2.port.-1.s.148.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.148.name=READ_FIFO_DIN[24]
unit.1.2.port.-1.s.148.orderindex=-1
unit.1.2.port.-1.s.148.visible=1
unit.1.2.port.-1.s.149.alias=
unit.1.2.port.-1.s.149.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.149.name=READ_FIFO_DIN[25]
unit.1.2.port.-1.s.149.orderindex=-1
unit.1.2.port.-1.s.149.visible=1
unit.1.2.port.-1.s.15.alias=
unit.1.2.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.15.name=TX_DATAOUT[11]
unit.1.2.port.-1.s.15.orderindex=-1
unit.1.2.port.-1.s.15.visible=1
unit.1.2.port.-1.s.150.alias=
unit.1.2.port.-1.s.150.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.150.name=READ_FIFO_DIN[26]
unit.1.2.port.-1.s.150.orderindex=-1
unit.1.2.port.-1.s.150.visible=1
unit.1.2.port.-1.s.151.alias=
unit.1.2.port.-1.s.151.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.151.name=READ_FIFO_DIN[27]
unit.1.2.port.-1.s.151.orderindex=-1
unit.1.2.port.-1.s.151.visible=1
unit.1.2.port.-1.s.152.alias=
unit.1.2.port.-1.s.152.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.152.name=READ_FIFO_DIN[28]
unit.1.2.port.-1.s.152.orderindex=-1
unit.1.2.port.-1.s.152.visible=1
unit.1.2.port.-1.s.153.alias=
unit.1.2.port.-1.s.153.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.153.name=READ_FIFO_DIN[29]
unit.1.2.port.-1.s.153.orderindex=-1
unit.1.2.port.-1.s.153.visible=1
unit.1.2.port.-1.s.154.alias=
unit.1.2.port.-1.s.154.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.154.name=READ_FIFO_DIN[30]
unit.1.2.port.-1.s.154.orderindex=-1
unit.1.2.port.-1.s.154.visible=1
unit.1.2.port.-1.s.155.alias=
unit.1.2.port.-1.s.155.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.155.name=READ_FIFO_DIN[31]
unit.1.2.port.-1.s.155.orderindex=-1
unit.1.2.port.-1.s.155.visible=1
unit.1.2.port.-1.s.156.alias=
unit.1.2.port.-1.s.156.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.156.name=READ_FIFO_DOUT[0]
unit.1.2.port.-1.s.156.orderindex=-1
unit.1.2.port.-1.s.156.visible=1
unit.1.2.port.-1.s.157.alias=
unit.1.2.port.-1.s.157.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.157.name=READ_FIFO_DOUT[1]
unit.1.2.port.-1.s.157.orderindex=-1
unit.1.2.port.-1.s.157.visible=1
unit.1.2.port.-1.s.158.alias=
unit.1.2.port.-1.s.158.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.158.name=READ_FIFO_DOUT[2]
unit.1.2.port.-1.s.158.orderindex=-1
unit.1.2.port.-1.s.158.visible=1
unit.1.2.port.-1.s.159.alias=
unit.1.2.port.-1.s.159.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.159.name=READ_FIFO_DOUT[3]
unit.1.2.port.-1.s.159.orderindex=-1
unit.1.2.port.-1.s.159.visible=1
unit.1.2.port.-1.s.16.alias=
unit.1.2.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.16.name=TX_DATAOUT[12]
unit.1.2.port.-1.s.16.orderindex=-1
unit.1.2.port.-1.s.16.visible=1
unit.1.2.port.-1.s.160.alias=
unit.1.2.port.-1.s.160.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.160.name=READ_FIFO_DOUT[4]
unit.1.2.port.-1.s.160.orderindex=-1
unit.1.2.port.-1.s.160.visible=1
unit.1.2.port.-1.s.161.alias=
unit.1.2.port.-1.s.161.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.161.name=READ_FIFO_DOUT[5]
unit.1.2.port.-1.s.161.orderindex=-1
unit.1.2.port.-1.s.161.visible=1
unit.1.2.port.-1.s.162.alias=
unit.1.2.port.-1.s.162.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.162.name=READ_FIFO_DOUT[6]
unit.1.2.port.-1.s.162.orderindex=-1
unit.1.2.port.-1.s.162.visible=1
unit.1.2.port.-1.s.163.alias=
unit.1.2.port.-1.s.163.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.163.name=READ_FIFO_DOUT[7]
unit.1.2.port.-1.s.163.orderindex=-1
unit.1.2.port.-1.s.163.visible=1
unit.1.2.port.-1.s.164.alias=
unit.1.2.port.-1.s.164.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.164.name=READ_FIFO_DOUT[8]
unit.1.2.port.-1.s.164.orderindex=-1
unit.1.2.port.-1.s.164.visible=1
unit.1.2.port.-1.s.165.alias=
unit.1.2.port.-1.s.165.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.165.name=READ_FIFO_DOUT[9]
unit.1.2.port.-1.s.165.orderindex=-1
unit.1.2.port.-1.s.165.visible=1
unit.1.2.port.-1.s.166.alias=
unit.1.2.port.-1.s.166.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.166.name=READ_FIFO_DOUT[10]
unit.1.2.port.-1.s.166.orderindex=-1
unit.1.2.port.-1.s.166.visible=1
unit.1.2.port.-1.s.167.alias=
unit.1.2.port.-1.s.167.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.167.name=READ_FIFO_DOUT[11]
unit.1.2.port.-1.s.167.orderindex=-1
unit.1.2.port.-1.s.167.visible=1
unit.1.2.port.-1.s.168.alias=
unit.1.2.port.-1.s.168.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.168.name=READ_FIFO_DOUT[12]
unit.1.2.port.-1.s.168.orderindex=-1
unit.1.2.port.-1.s.168.visible=1
unit.1.2.port.-1.s.169.alias=
unit.1.2.port.-1.s.169.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.169.name=READ_FIFO_DOUT[13]
unit.1.2.port.-1.s.169.orderindex=-1
unit.1.2.port.-1.s.169.visible=1
unit.1.2.port.-1.s.17.alias=
unit.1.2.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.17.name=TX_DATAOUT[13]
unit.1.2.port.-1.s.17.orderindex=-1
unit.1.2.port.-1.s.17.visible=1
unit.1.2.port.-1.s.170.alias=
unit.1.2.port.-1.s.170.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.170.name=READ_FIFO_DOUT[14]
unit.1.2.port.-1.s.170.orderindex=-1
unit.1.2.port.-1.s.170.visible=1
unit.1.2.port.-1.s.171.alias=
unit.1.2.port.-1.s.171.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.171.name=READ_FIFO_DOUT[15]
unit.1.2.port.-1.s.171.orderindex=-1
unit.1.2.port.-1.s.171.visible=1
unit.1.2.port.-1.s.172.alias=
unit.1.2.port.-1.s.172.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.172.name=READ_FIFO_DOUT[16]
unit.1.2.port.-1.s.172.orderindex=-1
unit.1.2.port.-1.s.172.visible=1
unit.1.2.port.-1.s.173.alias=
unit.1.2.port.-1.s.173.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.173.name=READ_FIFO_DOUT[17]
unit.1.2.port.-1.s.173.orderindex=-1
unit.1.2.port.-1.s.173.visible=1
unit.1.2.port.-1.s.174.alias=
unit.1.2.port.-1.s.174.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.174.name=READ_FIFO_DOUT[18]
unit.1.2.port.-1.s.174.orderindex=-1
unit.1.2.port.-1.s.174.visible=1
unit.1.2.port.-1.s.175.alias=
unit.1.2.port.-1.s.175.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.175.name=READ_FIFO_DOUT[19]
unit.1.2.port.-1.s.175.orderindex=-1
unit.1.2.port.-1.s.175.visible=1
unit.1.2.port.-1.s.176.alias=
unit.1.2.port.-1.s.176.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.176.name=READ_FIFO_DOUT[20]
unit.1.2.port.-1.s.176.orderindex=-1
unit.1.2.port.-1.s.176.visible=1
unit.1.2.port.-1.s.177.alias=
unit.1.2.port.-1.s.177.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.177.name=READ_FIFO_DOUT[21]
unit.1.2.port.-1.s.177.orderindex=-1
unit.1.2.port.-1.s.177.visible=1
unit.1.2.port.-1.s.178.alias=
unit.1.2.port.-1.s.178.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.178.name=READ_FIFO_DOUT[22]
unit.1.2.port.-1.s.178.orderindex=-1
unit.1.2.port.-1.s.178.visible=1
unit.1.2.port.-1.s.179.alias=
unit.1.2.port.-1.s.179.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.179.name=READ_FIFO_DOUT[23]
unit.1.2.port.-1.s.179.orderindex=-1
unit.1.2.port.-1.s.179.visible=1
unit.1.2.port.-1.s.18.alias=
unit.1.2.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.18.name=TX_DATAOUT[14]
unit.1.2.port.-1.s.18.orderindex=-1
unit.1.2.port.-1.s.18.visible=1
unit.1.2.port.-1.s.180.alias=
unit.1.2.port.-1.s.180.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.180.name=READ_FIFO_DOUT[24]
unit.1.2.port.-1.s.180.orderindex=-1
unit.1.2.port.-1.s.180.visible=1
unit.1.2.port.-1.s.181.alias=
unit.1.2.port.-1.s.181.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.181.name=READ_FIFO_DOUT[25]
unit.1.2.port.-1.s.181.orderindex=-1
unit.1.2.port.-1.s.181.visible=1
unit.1.2.port.-1.s.182.alias=
unit.1.2.port.-1.s.182.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.182.name=READ_FIFO_DOUT[26]
unit.1.2.port.-1.s.182.orderindex=-1
unit.1.2.port.-1.s.182.visible=1
unit.1.2.port.-1.s.183.alias=
unit.1.2.port.-1.s.183.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.183.name=READ_FIFO_DOUT[27]
unit.1.2.port.-1.s.183.orderindex=-1
unit.1.2.port.-1.s.183.visible=1
unit.1.2.port.-1.s.184.alias=
unit.1.2.port.-1.s.184.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.184.name=READ_FIFO_DOUT[28]
unit.1.2.port.-1.s.184.orderindex=-1
unit.1.2.port.-1.s.184.visible=1
unit.1.2.port.-1.s.185.alias=
unit.1.2.port.-1.s.185.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.185.name=READ_FIFO_DOUT[29]
unit.1.2.port.-1.s.185.orderindex=-1
unit.1.2.port.-1.s.185.visible=1
unit.1.2.port.-1.s.186.alias=
unit.1.2.port.-1.s.186.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.186.name=READ_FIFO_DOUT[30]
unit.1.2.port.-1.s.186.orderindex=-1
unit.1.2.port.-1.s.186.visible=1
unit.1.2.port.-1.s.187.alias=
unit.1.2.port.-1.s.187.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.187.name=READ_FIFO_DOUT[31]
unit.1.2.port.-1.s.187.orderindex=-1
unit.1.2.port.-1.s.187.visible=1
unit.1.2.port.-1.s.188.alias=
unit.1.2.port.-1.s.188.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.188.name=SOF_DET
unit.1.2.port.-1.s.188.orderindex=-1
unit.1.2.port.-1.s.188.visible=1
unit.1.2.port.-1.s.189.alias=
unit.1.2.port.-1.s.189.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.189.name=EOF_DET
unit.1.2.port.-1.s.189.orderindex=-1
unit.1.2.port.-1.s.189.visible=1
unit.1.2.port.-1.s.19.alias=
unit.1.2.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.19.name=TX_DATAOUT[15]
unit.1.2.port.-1.s.19.orderindex=-1
unit.1.2.port.-1.s.19.visible=1
unit.1.2.port.-1.s.190.alias=
unit.1.2.port.-1.s.190.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.190.name=X_RDY_DET
unit.1.2.port.-1.s.190.orderindex=-1
unit.1.2.port.-1.s.190.visible=1
unit.1.2.port.-1.s.191.alias=
unit.1.2.port.-1.s.191.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.191.name=WTRM_DET
unit.1.2.port.-1.s.191.orderindex=-1
unit.1.2.port.-1.s.191.visible=1
unit.1.2.port.-1.s.192.alias=
unit.1.2.port.-1.s.192.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.192.name=HOLD_START_DET
unit.1.2.port.-1.s.192.orderindex=-1
unit.1.2.port.-1.s.192.visible=1
unit.1.2.port.-1.s.193.alias=
unit.1.2.port.-1.s.193.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.193.name=HOLD_STOP_DET
unit.1.2.port.-1.s.193.orderindex=-1
unit.1.2.port.-1.s.193.visible=1
unit.1.2.port.-1.s.194.alias=
unit.1.2.port.-1.s.194.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.194.name=SYNC_DET
unit.1.2.port.-1.s.194.orderindex=-1
unit.1.2.port.-1.s.194.visible=1
unit.1.2.port.-1.s.195.alias=
unit.1.2.port.-1.s.195.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.195.name=CONT_DET
unit.1.2.port.-1.s.195.orderindex=-1
unit.1.2.port.-1.s.195.visible=1
unit.1.2.port.-1.s.196.alias=
unit.1.2.port.-1.s.196.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.196.name=ALIGN_DET
unit.1.2.port.-1.s.196.orderindex=-1
unit.1.2.port.-1.s.196.visible=1
unit.1.2.port.-1.s.197.alias=
unit.1.2.port.-1.s.197.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.197.name=NEW_CMD
unit.1.2.port.-1.s.197.orderindex=-1
unit.1.2.port.-1.s.197.visible=1
unit.1.2.port.-1.s.198.alias=
unit.1.2.port.-1.s.198.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.198.name=START_RX
unit.1.2.port.-1.s.198.orderindex=-1
unit.1.2.port.-1.s.198.visible=1
unit.1.2.port.-1.s.199.alias=
unit.1.2.port.-1.s.199.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.199.name=RX_DONE
unit.1.2.port.-1.s.199.orderindex=-1
unit.1.2.port.-1.s.199.visible=1
unit.1.2.port.-1.s.2.alias=
unit.1.2.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.2.name=RX_FRAME_VALUE[2]
unit.1.2.port.-1.s.2.orderindex=-1
unit.1.2.port.-1.s.2.visible=1
unit.1.2.port.-1.s.20.alias=
unit.1.2.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.20.name=TX_DATAOUT[16]
unit.1.2.port.-1.s.20.orderindex=-1
unit.1.2.port.-1.s.20.visible=1
unit.1.2.port.-1.s.200.alias=
unit.1.2.port.-1.s.200.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.200.name=DESCRAMBLER_DOUT_WE
unit.1.2.port.-1.s.200.orderindex=-1
unit.1.2.port.-1.s.200.visible=1
unit.1.2.port.-1.s.201.alias=
unit.1.2.port.-1.s.201.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.201.name=TX_CHARISK_OUT
unit.1.2.port.-1.s.201.orderindex=-1
unit.1.2.port.-1.s.201.visible=1
unit.1.2.port.-1.s.202.alias=
unit.1.2.port.-1.s.202.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.202.name=SW_RESET
unit.1.2.port.-1.s.202.orderindex=-1
unit.1.2.port.-1.s.202.visible=1
unit.1.2.port.-1.s.203.alias=
unit.1.2.port.-1.s.203.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.203.name=LINKUP
unit.1.2.port.-1.s.203.orderindex=-1
unit.1.2.port.-1.s.203.visible=1
unit.1.2.port.-1.s.204.alias=
unit.1.2.port.-1.s.204.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.204.name=RX_FIFO_WE_NEXT
unit.1.2.port.-1.s.204.orderindex=-1
unit.1.2.port.-1.s.204.visible=1
unit.1.2.port.-1.s.205.alias=
unit.1.2.port.-1.s.205.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.205.name=RX_FIFO_RE
unit.1.2.port.-1.s.205.orderindex=-1
unit.1.2.port.-1.s.205.visible=1
unit.1.2.port.-1.s.206.alias=
unit.1.2.port.-1.s.206.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.206.name=RX_FIFO_EMPTY
unit.1.2.port.-1.s.206.orderindex=-1
unit.1.2.port.-1.s.206.visible=1
unit.1.2.port.-1.s.207.alias=
unit.1.2.port.-1.s.207.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.207.name=DESCRAMBLER_RESET
unit.1.2.port.-1.s.207.orderindex=-1
unit.1.2.port.-1.s.207.visible=1
unit.1.2.port.-1.s.208.alias=
unit.1.2.port.-1.s.208.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.208.name=DESCRAMBLER_EN
unit.1.2.port.-1.s.208.orderindex=-1
unit.1.2.port.-1.s.208.visible=1
unit.1.2.port.-1.s.209.alias=
unit.1.2.port.-1.s.209.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.209.name=READ_FIFO_WE
unit.1.2.port.-1.s.209.orderindex=-1
unit.1.2.port.-1.s.209.visible=1
unit.1.2.port.-1.s.21.alias=
unit.1.2.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.21.name=TX_DATAOUT[17]
unit.1.2.port.-1.s.21.orderindex=-1
unit.1.2.port.-1.s.21.visible=1
unit.1.2.port.-1.s.210.alias=
unit.1.2.port.-1.s.210.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.210.name=READ_FIFO_RE
unit.1.2.port.-1.s.210.orderindex=-1
unit.1.2.port.-1.s.210.visible=1
unit.1.2.port.-1.s.211.alias=
unit.1.2.port.-1.s.211.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.211.name=RX_FIFO_ALMOST_EMPTY
unit.1.2.port.-1.s.211.orderindex=-1
unit.1.2.port.-1.s.211.visible=1
unit.1.2.port.-1.s.212.alias=
unit.1.2.port.-1.s.212.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.212.name=HOLD_DET_R
unit.1.2.port.-1.s.212.orderindex=-1
unit.1.2.port.-1.s.212.visible=1
unit.1.2.port.-1.s.213.alias=
unit.1.2.port.-1.s.213.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.213.name=ALIGN_DET_R
unit.1.2.port.-1.s.213.orderindex=-1
unit.1.2.port.-1.s.213.visible=1
unit.1.2.port.-1.s.214.alias=
unit.1.2.port.-1.s.214.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.214.name=TWO_HOLD_DET
unit.1.2.port.-1.s.214.orderindex=-1
unit.1.2.port.-1.s.214.visible=1
unit.1.2.port.-1.s.215.alias=abort_write
unit.1.2.port.-1.s.215.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.215.name=READ_FIFO_EMPTY_I
unit.1.2.port.-1.s.215.orderindex=-1
unit.1.2.port.-1.s.215.visible=1
unit.1.2.port.-1.s.216.alias=
unit.1.2.port.-1.s.216.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.216.name=TWO_HOLD_DET_R
unit.1.2.port.-1.s.216.orderindex=-1
unit.1.2.port.-1.s.216.visible=1
unit.1.2.port.-1.s.217.alias=
unit.1.2.port.-1.s.217.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.217.name=HOLD_DET
unit.1.2.port.-1.s.217.orderindex=-1
unit.1.2.port.-1.s.217.visible=1
unit.1.2.port.-1.s.218.alias=
unit.1.2.port.-1.s.218.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.218.name=HOLD_STOP_AFTER_ALIGN_DET
unit.1.2.port.-1.s.218.orderindex=-1
unit.1.2.port.-1.s.218.visible=1
unit.1.2.port.-1.s.219.alias=
unit.1.2.port.-1.s.219.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.219.name=ALIGN_DET_R2
unit.1.2.port.-1.s.219.orderindex=-1
unit.1.2.port.-1.s.219.visible=1
unit.1.2.port.-1.s.22.alias=
unit.1.2.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.22.name=TX_DATAOUT[18]
unit.1.2.port.-1.s.22.orderindex=-1
unit.1.2.port.-1.s.22.visible=1
unit.1.2.port.-1.s.220.alias=
unit.1.2.port.-1.s.220.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.220.name=DWORD_COUNT[0]
unit.1.2.port.-1.s.220.orderindex=-1
unit.1.2.port.-1.s.220.visible=1
unit.1.2.port.-1.s.221.alias=
unit.1.2.port.-1.s.221.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.221.name=DWORD_COUNT[1]
unit.1.2.port.-1.s.221.orderindex=-1
unit.1.2.port.-1.s.221.visible=1
unit.1.2.port.-1.s.222.alias=
unit.1.2.port.-1.s.222.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.222.name=DWORD_COUNT[2]
unit.1.2.port.-1.s.222.orderindex=-1
unit.1.2.port.-1.s.222.visible=1
unit.1.2.port.-1.s.223.alias=
unit.1.2.port.-1.s.223.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.223.name=DWORD_COUNT[3]
unit.1.2.port.-1.s.223.orderindex=-1
unit.1.2.port.-1.s.223.visible=1
unit.1.2.port.-1.s.224.alias=
unit.1.2.port.-1.s.224.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.224.name=DWORD_COUNT[4]
unit.1.2.port.-1.s.224.orderindex=-1
unit.1.2.port.-1.s.224.visible=1
unit.1.2.port.-1.s.225.alias=
unit.1.2.port.-1.s.225.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.225.name=DWORD_COUNT[5]
unit.1.2.port.-1.s.225.orderindex=-1
unit.1.2.port.-1.s.225.visible=1
unit.1.2.port.-1.s.226.alias=
unit.1.2.port.-1.s.226.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.226.name=DWORD_COUNT[6]
unit.1.2.port.-1.s.226.orderindex=-1
unit.1.2.port.-1.s.226.visible=1
unit.1.2.port.-1.s.227.alias=
unit.1.2.port.-1.s.227.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.227.name=DWORD_COUNT[7]
unit.1.2.port.-1.s.227.orderindex=-1
unit.1.2.port.-1.s.227.visible=1
unit.1.2.port.-1.s.228.alias=
unit.1.2.port.-1.s.228.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.228.name=RX_SECTOR_COUNT[0]
unit.1.2.port.-1.s.228.orderindex=-1
unit.1.2.port.-1.s.228.visible=1
unit.1.2.port.-1.s.229.alias=
unit.1.2.port.-1.s.229.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.229.name=RX_SECTOR_COUNT[1]
unit.1.2.port.-1.s.229.orderindex=-1
unit.1.2.port.-1.s.229.visible=1
unit.1.2.port.-1.s.23.alias=
unit.1.2.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.23.name=TX_DATAOUT[19]
unit.1.2.port.-1.s.23.orderindex=-1
unit.1.2.port.-1.s.23.visible=1
unit.1.2.port.-1.s.230.alias=
unit.1.2.port.-1.s.230.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.230.name=RX_SECTOR_COUNT[2]
unit.1.2.port.-1.s.230.orderindex=-1
unit.1.2.port.-1.s.230.visible=1
unit.1.2.port.-1.s.231.alias=
unit.1.2.port.-1.s.231.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.231.name=RX_SECTOR_COUNT[3]
unit.1.2.port.-1.s.231.orderindex=-1
unit.1.2.port.-1.s.231.visible=1
unit.1.2.port.-1.s.232.alias=
unit.1.2.port.-1.s.232.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.232.name=RX_SECTOR_COUNT[4]
unit.1.2.port.-1.s.232.orderindex=-1
unit.1.2.port.-1.s.232.visible=1
unit.1.2.port.-1.s.233.alias=
unit.1.2.port.-1.s.233.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.233.name=RX_SECTOR_COUNT[5]
unit.1.2.port.-1.s.233.orderindex=-1
unit.1.2.port.-1.s.233.visible=1
unit.1.2.port.-1.s.234.alias=
unit.1.2.port.-1.s.234.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.234.name=RX_SECTOR_COUNT[6]
unit.1.2.port.-1.s.234.orderindex=-1
unit.1.2.port.-1.s.234.visible=1
unit.1.2.port.-1.s.235.alias=
unit.1.2.port.-1.s.235.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.235.name=RX_SECTOR_COUNT[7]
unit.1.2.port.-1.s.235.orderindex=-1
unit.1.2.port.-1.s.235.visible=1
unit.1.2.port.-1.s.236.alias=
unit.1.2.port.-1.s.236.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.236.name=RX_SECTOR_COUNT[8]
unit.1.2.port.-1.s.236.orderindex=-1
unit.1.2.port.-1.s.236.visible=1
unit.1.2.port.-1.s.237.alias=
unit.1.2.port.-1.s.237.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.237.name=RX_SECTOR_COUNT[9]
unit.1.2.port.-1.s.237.orderindex=-1
unit.1.2.port.-1.s.237.visible=1
unit.1.2.port.-1.s.238.alias=
unit.1.2.port.-1.s.238.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.238.name=RX_SECTOR_COUNT[10]
unit.1.2.port.-1.s.238.orderindex=-1
unit.1.2.port.-1.s.238.visible=1
unit.1.2.port.-1.s.239.alias=
unit.1.2.port.-1.s.239.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.239.name=RX_SECTOR_COUNT[11]
unit.1.2.port.-1.s.239.orderindex=-1
unit.1.2.port.-1.s.239.visible=1
unit.1.2.port.-1.s.24.alias=
unit.1.2.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.24.name=TX_DATAOUT[20]
unit.1.2.port.-1.s.24.orderindex=-1
unit.1.2.port.-1.s.24.visible=1
unit.1.2.port.-1.s.240.alias=
unit.1.2.port.-1.s.240.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.240.name=RX_SECTOR_COUNT[12]
unit.1.2.port.-1.s.240.orderindex=-1
unit.1.2.port.-1.s.240.visible=1
unit.1.2.port.-1.s.241.alias=
unit.1.2.port.-1.s.241.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.241.name=RX_SECTOR_COUNT[13]
unit.1.2.port.-1.s.241.orderindex=-1
unit.1.2.port.-1.s.241.visible=1
unit.1.2.port.-1.s.242.alias=
unit.1.2.port.-1.s.242.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.242.name=RX_SECTOR_COUNT[14]
unit.1.2.port.-1.s.242.orderindex=-1
unit.1.2.port.-1.s.242.visible=1
unit.1.2.port.-1.s.243.alias=
unit.1.2.port.-1.s.243.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.243.name=RX_SECTOR_COUNT[15]
unit.1.2.port.-1.s.243.orderindex=-1
unit.1.2.port.-1.s.243.visible=1
unit.1.2.port.-1.s.244.alias=
unit.1.2.port.-1.s.244.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.244.name=DATA_FIS_DWORD_COUNT[0]
unit.1.2.port.-1.s.244.orderindex=-1
unit.1.2.port.-1.s.244.visible=1
unit.1.2.port.-1.s.245.alias=
unit.1.2.port.-1.s.245.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.245.name=DATA_FIS_DWORD_COUNT[1]
unit.1.2.port.-1.s.245.orderindex=-1
unit.1.2.port.-1.s.245.visible=1
unit.1.2.port.-1.s.246.alias=
unit.1.2.port.-1.s.246.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.246.name=DATA_FIS_DWORD_COUNT[2]
unit.1.2.port.-1.s.246.orderindex=-1
unit.1.2.port.-1.s.246.visible=1
unit.1.2.port.-1.s.247.alias=
unit.1.2.port.-1.s.247.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.247.name=DATA_FIS_DWORD_COUNT[3]
unit.1.2.port.-1.s.247.orderindex=-1
unit.1.2.port.-1.s.247.visible=1
unit.1.2.port.-1.s.248.alias=
unit.1.2.port.-1.s.248.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.248.name=DATA_FIS_DWORD_COUNT[4]
unit.1.2.port.-1.s.248.orderindex=-1
unit.1.2.port.-1.s.248.visible=1
unit.1.2.port.-1.s.249.alias=
unit.1.2.port.-1.s.249.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.249.name=DATA_FIS_DWORD_COUNT[5]
unit.1.2.port.-1.s.249.orderindex=-1
unit.1.2.port.-1.s.249.visible=1
unit.1.2.port.-1.s.25.alias=
unit.1.2.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.25.name=TX_DATAOUT[21]
unit.1.2.port.-1.s.25.orderindex=-1
unit.1.2.port.-1.s.25.visible=1
unit.1.2.port.-1.s.250.alias=
unit.1.2.port.-1.s.250.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.250.name=DATA_FIS_DWORD_COUNT[6]
unit.1.2.port.-1.s.250.orderindex=-1
unit.1.2.port.-1.s.250.visible=1
unit.1.2.port.-1.s.251.alias=
unit.1.2.port.-1.s.251.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.251.name=DATA_FIS_DWORD_COUNT[7]
unit.1.2.port.-1.s.251.orderindex=-1
unit.1.2.port.-1.s.251.visible=1
unit.1.2.port.-1.s.252.alias=
unit.1.2.port.-1.s.252.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.252.name=DATA_FIS_DWORD_COUNT[8]
unit.1.2.port.-1.s.252.orderindex=-1
unit.1.2.port.-1.s.252.visible=1
unit.1.2.port.-1.s.253.alias=
unit.1.2.port.-1.s.253.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.253.name=DATA_FIS_DWORD_COUNT[9]
unit.1.2.port.-1.s.253.orderindex=-1
unit.1.2.port.-1.s.253.visible=1
unit.1.2.port.-1.s.254.alias=
unit.1.2.port.-1.s.254.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.254.name=DATA_FIS_DWORD_COUNT[10]
unit.1.2.port.-1.s.254.orderindex=-1
unit.1.2.port.-1.s.254.visible=1
unit.1.2.port.-1.s.255.alias=
unit.1.2.port.-1.s.255.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.255.name=DATA_FIS_DWORD_COUNT[11]
unit.1.2.port.-1.s.255.orderindex=-1
unit.1.2.port.-1.s.255.visible=1
unit.1.2.port.-1.s.256.alias=
unit.1.2.port.-1.s.256.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.256.name=DATA_FIS_DWORD_COUNT[12]
unit.1.2.port.-1.s.256.orderindex=-1
unit.1.2.port.-1.s.256.visible=1
unit.1.2.port.-1.s.257.alias=
unit.1.2.port.-1.s.257.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.257.name=DATA_FIS_DWORD_COUNT[13]
unit.1.2.port.-1.s.257.orderindex=-1
unit.1.2.port.-1.s.257.visible=1
unit.1.2.port.-1.s.258.alias=
unit.1.2.port.-1.s.258.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.258.name=DATA_FIS_DWORD_COUNT[14]
unit.1.2.port.-1.s.258.orderindex=-1
unit.1.2.port.-1.s.258.visible=1
unit.1.2.port.-1.s.259.alias=
unit.1.2.port.-1.s.259.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.259.name=DATA_FIS_DWORD_COUNT[15]
unit.1.2.port.-1.s.259.orderindex=-1
unit.1.2.port.-1.s.259.visible=1
unit.1.2.port.-1.s.26.alias=
unit.1.2.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.26.name=TX_DATAOUT[22]
unit.1.2.port.-1.s.26.orderindex=-1
unit.1.2.port.-1.s.26.visible=1
unit.1.2.port.-1.s.260.alias=
unit.1.2.port.-1.s.260.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.260.name=DWORD_COUNT_VALUE[0]
unit.1.2.port.-1.s.260.orderindex=-1
unit.1.2.port.-1.s.260.visible=1
unit.1.2.port.-1.s.261.alias=
unit.1.2.port.-1.s.261.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.261.name=DWORD_COUNT_VALUE[1]
unit.1.2.port.-1.s.261.orderindex=-1
unit.1.2.port.-1.s.261.visible=1
unit.1.2.port.-1.s.262.alias=
unit.1.2.port.-1.s.262.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.262.name=DWORD_COUNT_VALUE[2]
unit.1.2.port.-1.s.262.orderindex=-1
unit.1.2.port.-1.s.262.visible=1
unit.1.2.port.-1.s.263.alias=
unit.1.2.port.-1.s.263.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.263.name=DWORD_COUNT_VALUE[3]
unit.1.2.port.-1.s.263.orderindex=-1
unit.1.2.port.-1.s.263.visible=1
unit.1.2.port.-1.s.264.alias=
unit.1.2.port.-1.s.264.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.264.name=DWORD_COUNT_VALUE[4]
unit.1.2.port.-1.s.264.orderindex=-1
unit.1.2.port.-1.s.264.visible=1
unit.1.2.port.-1.s.265.alias=
unit.1.2.port.-1.s.265.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.265.name=DWORD_COUNT_VALUE[5]
unit.1.2.port.-1.s.265.orderindex=-1
unit.1.2.port.-1.s.265.visible=1
unit.1.2.port.-1.s.266.alias=
unit.1.2.port.-1.s.266.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.266.name=DWORD_COUNT_VALUE[6]
unit.1.2.port.-1.s.266.orderindex=-1
unit.1.2.port.-1.s.266.visible=1
unit.1.2.port.-1.s.267.alias=
unit.1.2.port.-1.s.267.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.267.name=DWORD_COUNT_VALUE[7]
unit.1.2.port.-1.s.267.orderindex=-1
unit.1.2.port.-1.s.267.visible=1
unit.1.2.port.-1.s.268.alias=
unit.1.2.port.-1.s.268.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.268.name=DWORD_COUNT_VALUE[8]
unit.1.2.port.-1.s.268.orderindex=-1
unit.1.2.port.-1.s.268.visible=1
unit.1.2.port.-1.s.269.alias=
unit.1.2.port.-1.s.269.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.269.name=DWORD_COUNT_VALUE[9]
unit.1.2.port.-1.s.269.orderindex=-1
unit.1.2.port.-1.s.269.visible=1
unit.1.2.port.-1.s.27.alias=
unit.1.2.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.27.name=TX_DATAOUT[23]
unit.1.2.port.-1.s.27.orderindex=-1
unit.1.2.port.-1.s.27.visible=1
unit.1.2.port.-1.s.270.alias=
unit.1.2.port.-1.s.270.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.270.name=DWORD_COUNT_VALUE[10]
unit.1.2.port.-1.s.270.orderindex=-1
unit.1.2.port.-1.s.270.visible=1
unit.1.2.port.-1.s.271.alias=
unit.1.2.port.-1.s.271.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.271.name=DWORD_COUNT_VALUE[11]
unit.1.2.port.-1.s.271.orderindex=-1
unit.1.2.port.-1.s.271.visible=1
unit.1.2.port.-1.s.272.alias=
unit.1.2.port.-1.s.272.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.272.name=DWORD_COUNT_VALUE[12]
unit.1.2.port.-1.s.272.orderindex=-1
unit.1.2.port.-1.s.272.visible=1
unit.1.2.port.-1.s.273.alias=
unit.1.2.port.-1.s.273.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.273.name=DWORD_COUNT_VALUE[13]
unit.1.2.port.-1.s.273.orderindex=-1
unit.1.2.port.-1.s.273.visible=1
unit.1.2.port.-1.s.274.alias=
unit.1.2.port.-1.s.274.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.274.name=DWORD_COUNT_VALUE[14]
unit.1.2.port.-1.s.274.orderindex=-1
unit.1.2.port.-1.s.274.visible=1
unit.1.2.port.-1.s.275.alias=
unit.1.2.port.-1.s.275.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.275.name=DWORD_COUNT_VALUE[15]
unit.1.2.port.-1.s.275.orderindex=-1
unit.1.2.port.-1.s.275.visible=1
unit.1.2.port.-1.s.276.alias=
unit.1.2.port.-1.s.276.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.276.name=DWORD_COUNT_INIT_VALUE[0]
unit.1.2.port.-1.s.276.orderindex=-1
unit.1.2.port.-1.s.276.visible=1
unit.1.2.port.-1.s.277.alias=
unit.1.2.port.-1.s.277.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.277.name=DWORD_COUNT_INIT_VALUE[1]
unit.1.2.port.-1.s.277.orderindex=-1
unit.1.2.port.-1.s.277.visible=1
unit.1.2.port.-1.s.278.alias=
unit.1.2.port.-1.s.278.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.278.name=DWORD_COUNT_INIT_VALUE[2]
unit.1.2.port.-1.s.278.orderindex=-1
unit.1.2.port.-1.s.278.visible=1
unit.1.2.port.-1.s.279.alias=
unit.1.2.port.-1.s.279.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.279.name=DWORD_COUNT_INIT_VALUE[3]
unit.1.2.port.-1.s.279.orderindex=-1
unit.1.2.port.-1.s.279.visible=1
unit.1.2.port.-1.s.28.alias=
unit.1.2.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.28.name=TX_DATAOUT[24]
unit.1.2.port.-1.s.28.orderindex=-1
unit.1.2.port.-1.s.28.visible=1
unit.1.2.port.-1.s.280.alias=
unit.1.2.port.-1.s.280.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.280.name=DWORD_COUNT_INIT_VALUE[4]
unit.1.2.port.-1.s.280.orderindex=-1
unit.1.2.port.-1.s.280.visible=1
unit.1.2.port.-1.s.281.alias=
unit.1.2.port.-1.s.281.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.281.name=DWORD_COUNT_INIT_VALUE[5]
unit.1.2.port.-1.s.281.orderindex=-1
unit.1.2.port.-1.s.281.visible=1
unit.1.2.port.-1.s.282.alias=
unit.1.2.port.-1.s.282.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.282.name=DWORD_COUNT_INIT_VALUE[6]
unit.1.2.port.-1.s.282.orderindex=-1
unit.1.2.port.-1.s.282.visible=1
unit.1.2.port.-1.s.283.alias=
unit.1.2.port.-1.s.283.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.283.name=DWORD_COUNT_INIT_VALUE[7]
unit.1.2.port.-1.s.283.orderindex=-1
unit.1.2.port.-1.s.283.visible=1
unit.1.2.port.-1.s.284.alias=
unit.1.2.port.-1.s.284.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.284.name=DWORD_COUNT_INIT_VALUE[8]
unit.1.2.port.-1.s.284.orderindex=-1
unit.1.2.port.-1.s.284.visible=1
unit.1.2.port.-1.s.285.alias=
unit.1.2.port.-1.s.285.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.285.name=DWORD_COUNT_INIT_VALUE[9]
unit.1.2.port.-1.s.285.orderindex=-1
unit.1.2.port.-1.s.285.visible=1
unit.1.2.port.-1.s.286.alias=
unit.1.2.port.-1.s.286.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.286.name=DWORD_COUNT_INIT_VALUE[10]
unit.1.2.port.-1.s.286.orderindex=-1
unit.1.2.port.-1.s.286.visible=1
unit.1.2.port.-1.s.287.alias=
unit.1.2.port.-1.s.287.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.287.name=DWORD_COUNT_INIT_VALUE[11]
unit.1.2.port.-1.s.287.orderindex=-1
unit.1.2.port.-1.s.287.visible=1
unit.1.2.port.-1.s.288.alias=
unit.1.2.port.-1.s.288.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.288.name=DWORD_COUNT_INIT_VALUE[12]
unit.1.2.port.-1.s.288.orderindex=-1
unit.1.2.port.-1.s.288.visible=1
unit.1.2.port.-1.s.289.alias=
unit.1.2.port.-1.s.289.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.289.name=DWORD_COUNT_INIT_VALUE[13]
unit.1.2.port.-1.s.289.orderindex=-1
unit.1.2.port.-1.s.289.visible=1
unit.1.2.port.-1.s.29.alias=
unit.1.2.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.29.name=TX_DATAOUT[25]
unit.1.2.port.-1.s.29.orderindex=-1
unit.1.2.port.-1.s.29.visible=1
unit.1.2.port.-1.s.290.alias=
unit.1.2.port.-1.s.290.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.290.name=DWORD_COUNT_INIT_VALUE[14]
unit.1.2.port.-1.s.290.orderindex=-1
unit.1.2.port.-1.s.290.visible=1
unit.1.2.port.-1.s.291.alias=
unit.1.2.port.-1.s.291.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.291.name=DWORD_COUNT_INIT_VALUE[15]
unit.1.2.port.-1.s.291.orderindex=-1
unit.1.2.port.-1.s.291.visible=1
unit.1.2.port.-1.s.292.alias=
unit.1.2.port.-1.s.292.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.292.name=DWORD_COUNT_INIT_VALUE[16]
unit.1.2.port.-1.s.292.orderindex=-1
unit.1.2.port.-1.s.292.visible=1
unit.1.2.port.-1.s.293.alias=
unit.1.2.port.-1.s.293.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.293.name=DWORD_COUNT_INIT_VALUE[17]
unit.1.2.port.-1.s.293.orderindex=-1
unit.1.2.port.-1.s.293.visible=1
unit.1.2.port.-1.s.294.alias=
unit.1.2.port.-1.s.294.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.294.name=DWORD_COUNT_INIT_VALUE[18]
unit.1.2.port.-1.s.294.orderindex=-1
unit.1.2.port.-1.s.294.visible=1
unit.1.2.port.-1.s.295.alias=
unit.1.2.port.-1.s.295.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.295.name=DWORD_COUNT_INIT_VALUE[19]
unit.1.2.port.-1.s.295.orderindex=-1
unit.1.2.port.-1.s.295.visible=1
unit.1.2.port.-1.s.296.alias=
unit.1.2.port.-1.s.296.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.296.name=DWORD_COUNT_INIT_VALUE[20]
unit.1.2.port.-1.s.296.orderindex=-1
unit.1.2.port.-1.s.296.visible=1
unit.1.2.port.-1.s.297.alias=
unit.1.2.port.-1.s.297.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.297.name=DWORD_COUNT_INIT_VALUE[21]
unit.1.2.port.-1.s.297.orderindex=-1
unit.1.2.port.-1.s.297.visible=1
unit.1.2.port.-1.s.298.alias=
unit.1.2.port.-1.s.298.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.298.name=DWORD_COUNT_INIT_VALUE[22]
unit.1.2.port.-1.s.298.orderindex=-1
unit.1.2.port.-1.s.298.visible=1
unit.1.2.port.-1.s.299.alias=
unit.1.2.port.-1.s.299.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.299.name=DWORD_COUNT_INIT_VALUE[23]
unit.1.2.port.-1.s.299.orderindex=-1
unit.1.2.port.-1.s.299.visible=1
unit.1.2.port.-1.s.3.alias=
unit.1.2.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.3.name=RX_FRAME_VALUE[3]
unit.1.2.port.-1.s.3.orderindex=-1
unit.1.2.port.-1.s.3.visible=1
unit.1.2.port.-1.s.30.alias=
unit.1.2.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.30.name=TX_DATAOUT[26]
unit.1.2.port.-1.s.30.orderindex=-1
unit.1.2.port.-1.s.30.visible=1
unit.1.2.port.-1.s.300.alias=
unit.1.2.port.-1.s.300.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.300.name=DWORD_COUNT_INIT_VALUE[24]
unit.1.2.port.-1.s.300.orderindex=-1
unit.1.2.port.-1.s.300.visible=1
unit.1.2.port.-1.s.301.alias=
unit.1.2.port.-1.s.301.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.301.name=DWORD_COUNT_INIT_VALUE[25]
unit.1.2.port.-1.s.301.orderindex=-1
unit.1.2.port.-1.s.301.visible=1
unit.1.2.port.-1.s.302.alias=
unit.1.2.port.-1.s.302.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.302.name=DWORD_COUNT_INIT_VALUE[26]
unit.1.2.port.-1.s.302.orderindex=-1
unit.1.2.port.-1.s.302.visible=1
unit.1.2.port.-1.s.303.alias=
unit.1.2.port.-1.s.303.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.303.name=DWORD_COUNT_INIT_VALUE[27]
unit.1.2.port.-1.s.303.orderindex=-1
unit.1.2.port.-1.s.303.visible=1
unit.1.2.port.-1.s.304.alias=
unit.1.2.port.-1.s.304.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.304.name=DWORD_COUNT_INIT_VALUE[28]
unit.1.2.port.-1.s.304.orderindex=-1
unit.1.2.port.-1.s.304.visible=1
unit.1.2.port.-1.s.305.alias=
unit.1.2.port.-1.s.305.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.305.name=DWORD_COUNT_INIT_VALUE[29]
unit.1.2.port.-1.s.305.orderindex=-1
unit.1.2.port.-1.s.305.visible=1
unit.1.2.port.-1.s.306.alias=
unit.1.2.port.-1.s.306.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.306.name=DWORD_COUNT_INIT_VALUE[30]
unit.1.2.port.-1.s.306.orderindex=-1
unit.1.2.port.-1.s.306.visible=1
unit.1.2.port.-1.s.307.alias=
unit.1.2.port.-1.s.307.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.307.name=DWORD_COUNT_INIT_VALUE[31]
unit.1.2.port.-1.s.307.orderindex=-1
unit.1.2.port.-1.s.307.visible=1
unit.1.2.port.-1.s.31.alias=
unit.1.2.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.31.name=TX_DATAOUT[27]
unit.1.2.port.-1.s.31.orderindex=-1
unit.1.2.port.-1.s.31.visible=1
unit.1.2.port.-1.s.32.alias=
unit.1.2.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.32.name=TX_DATAOUT[28]
unit.1.2.port.-1.s.32.orderindex=-1
unit.1.2.port.-1.s.32.visible=1
unit.1.2.port.-1.s.33.alias=
unit.1.2.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.33.name=TX_DATAOUT[29]
unit.1.2.port.-1.s.33.orderindex=-1
unit.1.2.port.-1.s.33.visible=1
unit.1.2.port.-1.s.34.alias=
unit.1.2.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.34.name=TX_DATAOUT[30]
unit.1.2.port.-1.s.34.orderindex=-1
unit.1.2.port.-1.s.34.visible=1
unit.1.2.port.-1.s.35.alias=
unit.1.2.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.35.name=TX_DATAOUT[31]
unit.1.2.port.-1.s.35.orderindex=-1
unit.1.2.port.-1.s.35.visible=1
unit.1.2.port.-1.s.36.alias=
unit.1.2.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.36.name=COMMAND_COUNT[0]
unit.1.2.port.-1.s.36.orderindex=-1
unit.1.2.port.-1.s.36.visible=1
unit.1.2.port.-1.s.37.alias=
unit.1.2.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.37.name=COMMAND_COUNT[1]
unit.1.2.port.-1.s.37.orderindex=-1
unit.1.2.port.-1.s.37.visible=1
unit.1.2.port.-1.s.38.alias=
unit.1.2.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.38.name=COMMAND_COUNT[2]
unit.1.2.port.-1.s.38.orderindex=-1
unit.1.2.port.-1.s.38.visible=1
unit.1.2.port.-1.s.39.alias=
unit.1.2.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.39.name=COMMAND_COUNT[3]
unit.1.2.port.-1.s.39.orderindex=-1
unit.1.2.port.-1.s.39.visible=1
unit.1.2.port.-1.s.4.alias=
unit.1.2.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.4.name=TX_DATAOUT[0]
unit.1.2.port.-1.s.4.orderindex=-1
unit.1.2.port.-1.s.4.visible=1
unit.1.2.port.-1.s.40.alias=
unit.1.2.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.40.name=COMMAND_COUNT[4]
unit.1.2.port.-1.s.40.orderindex=-1
unit.1.2.port.-1.s.40.visible=1
unit.1.2.port.-1.s.41.alias=
unit.1.2.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.41.name=COMMAND_COUNT[5]
unit.1.2.port.-1.s.41.orderindex=-1
unit.1.2.port.-1.s.41.visible=1
unit.1.2.port.-1.s.42.alias=
unit.1.2.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.42.name=COMMAND_COUNT[6]
unit.1.2.port.-1.s.42.orderindex=-1
unit.1.2.port.-1.s.42.visible=1
unit.1.2.port.-1.s.43.alias=
unit.1.2.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.43.name=COMMAND_COUNT[7]
unit.1.2.port.-1.s.43.orderindex=-1
unit.1.2.port.-1.s.43.visible=1
unit.1.2.port.-1.s.44.alias=
unit.1.2.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.44.name=MASTER_FSM_VALUE[0]
unit.1.2.port.-1.s.44.orderindex=-1
unit.1.2.port.-1.s.44.visible=1
unit.1.2.port.-1.s.45.alias=
unit.1.2.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.45.name=MASTER_FSM_VALUE[1]
unit.1.2.port.-1.s.45.orderindex=-1
unit.1.2.port.-1.s.45.visible=1
unit.1.2.port.-1.s.46.alias=
unit.1.2.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.46.name=MASTER_FSM_VALUE[2]
unit.1.2.port.-1.s.46.orderindex=-1
unit.1.2.port.-1.s.46.visible=1
unit.1.2.port.-1.s.47.alias=
unit.1.2.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.47.name=MASTER_FSM_VALUE[3]
unit.1.2.port.-1.s.47.orderindex=-1
unit.1.2.port.-1.s.47.visible=1
unit.1.2.port.-1.s.48.alias=
unit.1.2.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.48.name=RX_CHARISK_IN[0]
unit.1.2.port.-1.s.48.orderindex=-1
unit.1.2.port.-1.s.48.visible=1
unit.1.2.port.-1.s.49.alias=
unit.1.2.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.49.name=RX_CHARISK_IN[1]
unit.1.2.port.-1.s.49.orderindex=-1
unit.1.2.port.-1.s.49.visible=1
unit.1.2.port.-1.s.5.alias=
unit.1.2.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.5.name=TX_DATAOUT[1]
unit.1.2.port.-1.s.5.orderindex=-1
unit.1.2.port.-1.s.5.visible=1
unit.1.2.port.-1.s.50.alias=
unit.1.2.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.50.name=RX_CHARISK_IN[2]
unit.1.2.port.-1.s.50.orderindex=-1
unit.1.2.port.-1.s.50.visible=1
unit.1.2.port.-1.s.51.alias=
unit.1.2.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.51.name=RX_CHARISK_IN[3]
unit.1.2.port.-1.s.51.orderindex=-1
unit.1.2.port.-1.s.51.visible=1
unit.1.2.port.-1.s.52.alias=
unit.1.2.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.52.name=OOB_STATE[0]
unit.1.2.port.-1.s.52.orderindex=-1
unit.1.2.port.-1.s.52.visible=1
unit.1.2.port.-1.s.53.alias=
unit.1.2.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.53.name=OOB_STATE[1]
unit.1.2.port.-1.s.53.orderindex=-1
unit.1.2.port.-1.s.53.visible=1
unit.1.2.port.-1.s.54.alias=
unit.1.2.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.54.name=OOB_STATE[2]
unit.1.2.port.-1.s.54.orderindex=-1
unit.1.2.port.-1.s.54.visible=1
unit.1.2.port.-1.s.55.alias=
unit.1.2.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.55.name=OOB_STATE[3]
unit.1.2.port.-1.s.55.orderindex=-1
unit.1.2.port.-1.s.55.visible=1
unit.1.2.port.-1.s.56.alias=
unit.1.2.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.56.name=OOB_STATE[4]
unit.1.2.port.-1.s.56.orderindex=-1
unit.1.2.port.-1.s.56.visible=1
unit.1.2.port.-1.s.57.alias=
unit.1.2.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.57.name=OOB_STATE[5]
unit.1.2.port.-1.s.57.orderindex=-1
unit.1.2.port.-1.s.57.visible=1
unit.1.2.port.-1.s.58.alias=
unit.1.2.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.58.name=OOB_STATE[6]
unit.1.2.port.-1.s.58.orderindex=-1
unit.1.2.port.-1.s.58.visible=1
unit.1.2.port.-1.s.59.alias=
unit.1.2.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.59.name=OOB_STATE[7]
unit.1.2.port.-1.s.59.orderindex=-1
unit.1.2.port.-1.s.59.visible=1
unit.1.2.port.-1.s.6.alias=
unit.1.2.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.6.name=TX_DATAOUT[2]
unit.1.2.port.-1.s.6.orderindex=-1
unit.1.2.port.-1.s.6.visible=1
unit.1.2.port.-1.s.60.alias=
unit.1.2.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.60.name=RX_DATAIN[0]
unit.1.2.port.-1.s.60.orderindex=-1
unit.1.2.port.-1.s.60.visible=1
unit.1.2.port.-1.s.61.alias=
unit.1.2.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.61.name=RX_DATAIN[1]
unit.1.2.port.-1.s.61.orderindex=-1
unit.1.2.port.-1.s.61.visible=1
unit.1.2.port.-1.s.62.alias=
unit.1.2.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.62.name=RX_DATAIN[2]
unit.1.2.port.-1.s.62.orderindex=-1
unit.1.2.port.-1.s.62.visible=1
unit.1.2.port.-1.s.63.alias=
unit.1.2.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.63.name=RX_DATAIN[3]
unit.1.2.port.-1.s.63.orderindex=-1
unit.1.2.port.-1.s.63.visible=1
unit.1.2.port.-1.s.64.alias=
unit.1.2.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.64.name=RX_DATAIN[4]
unit.1.2.port.-1.s.64.orderindex=-1
unit.1.2.port.-1.s.64.visible=1
unit.1.2.port.-1.s.65.alias=
unit.1.2.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.65.name=RX_DATAIN[5]
unit.1.2.port.-1.s.65.orderindex=-1
unit.1.2.port.-1.s.65.visible=1
unit.1.2.port.-1.s.66.alias=
unit.1.2.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.66.name=RX_DATAIN[6]
unit.1.2.port.-1.s.66.orderindex=-1
unit.1.2.port.-1.s.66.visible=1
unit.1.2.port.-1.s.67.alias=
unit.1.2.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.67.name=RX_DATAIN[7]
unit.1.2.port.-1.s.67.orderindex=-1
unit.1.2.port.-1.s.67.visible=1
unit.1.2.port.-1.s.68.alias=
unit.1.2.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.68.name=RX_DATAIN[8]
unit.1.2.port.-1.s.68.orderindex=-1
unit.1.2.port.-1.s.68.visible=1
unit.1.2.port.-1.s.69.alias=
unit.1.2.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.69.name=RX_DATAIN[9]
unit.1.2.port.-1.s.69.orderindex=-1
unit.1.2.port.-1.s.69.visible=1
unit.1.2.port.-1.s.7.alias=
unit.1.2.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.7.name=TX_DATAOUT[3]
unit.1.2.port.-1.s.7.orderindex=-1
unit.1.2.port.-1.s.7.visible=1
unit.1.2.port.-1.s.70.alias=
unit.1.2.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.70.name=RX_DATAIN[10]
unit.1.2.port.-1.s.70.orderindex=-1
unit.1.2.port.-1.s.70.visible=1
unit.1.2.port.-1.s.71.alias=
unit.1.2.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.71.name=RX_DATAIN[11]
unit.1.2.port.-1.s.71.orderindex=-1
unit.1.2.port.-1.s.71.visible=1
unit.1.2.port.-1.s.72.alias=
unit.1.2.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.72.name=RX_DATAIN[12]
unit.1.2.port.-1.s.72.orderindex=-1
unit.1.2.port.-1.s.72.visible=1
unit.1.2.port.-1.s.73.alias=
unit.1.2.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.73.name=RX_DATAIN[13]
unit.1.2.port.-1.s.73.orderindex=-1
unit.1.2.port.-1.s.73.visible=1
unit.1.2.port.-1.s.74.alias=
unit.1.2.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.74.name=RX_DATAIN[14]
unit.1.2.port.-1.s.74.orderindex=-1
unit.1.2.port.-1.s.74.visible=1
unit.1.2.port.-1.s.75.alias=
unit.1.2.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.75.name=RX_DATAIN[15]
unit.1.2.port.-1.s.75.orderindex=-1
unit.1.2.port.-1.s.75.visible=1
unit.1.2.port.-1.s.76.alias=
unit.1.2.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.76.name=RX_DATAIN[16]
unit.1.2.port.-1.s.76.orderindex=-1
unit.1.2.port.-1.s.76.visible=1
unit.1.2.port.-1.s.77.alias=
unit.1.2.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.77.name=RX_DATAIN[17]
unit.1.2.port.-1.s.77.orderindex=-1
unit.1.2.port.-1.s.77.visible=1
unit.1.2.port.-1.s.78.alias=
unit.1.2.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.78.name=RX_DATAIN[18]
unit.1.2.port.-1.s.78.orderindex=-1
unit.1.2.port.-1.s.78.visible=1
unit.1.2.port.-1.s.79.alias=
unit.1.2.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.79.name=RX_DATAIN[19]
unit.1.2.port.-1.s.79.orderindex=-1
unit.1.2.port.-1.s.79.visible=1
unit.1.2.port.-1.s.8.alias=
unit.1.2.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.8.name=TX_DATAOUT[4]
unit.1.2.port.-1.s.8.orderindex=-1
unit.1.2.port.-1.s.8.visible=1
unit.1.2.port.-1.s.80.alias=
unit.1.2.port.-1.s.80.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.80.name=RX_DATAIN[20]
unit.1.2.port.-1.s.80.orderindex=-1
unit.1.2.port.-1.s.80.visible=1
unit.1.2.port.-1.s.81.alias=
unit.1.2.port.-1.s.81.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.81.name=RX_DATAIN[21]
unit.1.2.port.-1.s.81.orderindex=-1
unit.1.2.port.-1.s.81.visible=1
unit.1.2.port.-1.s.82.alias=
unit.1.2.port.-1.s.82.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.82.name=RX_DATAIN[22]
unit.1.2.port.-1.s.82.orderindex=-1
unit.1.2.port.-1.s.82.visible=1
unit.1.2.port.-1.s.83.alias=
unit.1.2.port.-1.s.83.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.83.name=RX_DATAIN[23]
unit.1.2.port.-1.s.83.orderindex=-1
unit.1.2.port.-1.s.83.visible=1
unit.1.2.port.-1.s.84.alias=
unit.1.2.port.-1.s.84.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.84.name=RX_DATAIN[24]
unit.1.2.port.-1.s.84.orderindex=-1
unit.1.2.port.-1.s.84.visible=1
unit.1.2.port.-1.s.85.alias=
unit.1.2.port.-1.s.85.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.85.name=RX_DATAIN[25]
unit.1.2.port.-1.s.85.orderindex=-1
unit.1.2.port.-1.s.85.visible=1
unit.1.2.port.-1.s.86.alias=
unit.1.2.port.-1.s.86.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.86.name=RX_DATAIN[26]
unit.1.2.port.-1.s.86.orderindex=-1
unit.1.2.port.-1.s.86.visible=1
unit.1.2.port.-1.s.87.alias=
unit.1.2.port.-1.s.87.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.87.name=RX_DATAIN[27]
unit.1.2.port.-1.s.87.orderindex=-1
unit.1.2.port.-1.s.87.visible=1
unit.1.2.port.-1.s.88.alias=
unit.1.2.port.-1.s.88.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.88.name=RX_DATAIN[28]
unit.1.2.port.-1.s.88.orderindex=-1
unit.1.2.port.-1.s.88.visible=1
unit.1.2.port.-1.s.89.alias=
unit.1.2.port.-1.s.89.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.89.name=RX_DATAIN[29]
unit.1.2.port.-1.s.89.orderindex=-1
unit.1.2.port.-1.s.89.visible=1
unit.1.2.port.-1.s.9.alias=
unit.1.2.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.9.name=TX_DATAOUT[5]
unit.1.2.port.-1.s.9.orderindex=-1
unit.1.2.port.-1.s.9.visible=1
unit.1.2.port.-1.s.90.alias=
unit.1.2.port.-1.s.90.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.90.name=RX_DATAIN[30]
unit.1.2.port.-1.s.90.orderindex=-1
unit.1.2.port.-1.s.90.visible=1
unit.1.2.port.-1.s.91.alias=
unit.1.2.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.91.name=RX_DATAIN[31]
unit.1.2.port.-1.s.91.orderindex=-1
unit.1.2.port.-1.s.91.visible=1
unit.1.2.port.-1.s.92.alias=
unit.1.2.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.92.name=RX_FIFO_DOUT[0]
unit.1.2.port.-1.s.92.orderindex=-1
unit.1.2.port.-1.s.92.visible=1
unit.1.2.port.-1.s.93.alias=
unit.1.2.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.93.name=RX_FIFO_DOUT[1]
unit.1.2.port.-1.s.93.orderindex=-1
unit.1.2.port.-1.s.93.visible=1
unit.1.2.port.-1.s.94.alias=
unit.1.2.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.94.name=RX_FIFO_DOUT[2]
unit.1.2.port.-1.s.94.orderindex=-1
unit.1.2.port.-1.s.94.visible=1
unit.1.2.port.-1.s.95.alias=
unit.1.2.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.95.name=RX_FIFO_DOUT[3]
unit.1.2.port.-1.s.95.orderindex=-1
unit.1.2.port.-1.s.95.visible=1
unit.1.2.port.-1.s.96.alias=
unit.1.2.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.96.name=RX_FIFO_DOUT[4]
unit.1.2.port.-1.s.96.orderindex=-1
unit.1.2.port.-1.s.96.visible=1
unit.1.2.port.-1.s.97.alias=
unit.1.2.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.97.name=RX_FIFO_DOUT[5]
unit.1.2.port.-1.s.97.orderindex=-1
unit.1.2.port.-1.s.97.visible=1
unit.1.2.port.-1.s.98.alias=
unit.1.2.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.98.name=RX_FIFO_DOUT[6]
unit.1.2.port.-1.s.98.orderindex=-1
unit.1.2.port.-1.s.98.visible=1
unit.1.2.port.-1.s.99.alias=
unit.1.2.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.-1.s.99.name=RX_FIFO_DOUT[7]
unit.1.2.port.-1.s.99.orderindex=-1
unit.1.2.port.-1.s.99.visible=1
unit.1.2.port.0.b.0.alias=
unit.1.2.port.0.b.0.channellist=0 1 2 3
unit.1.2.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.0.b.0.name=RX_FRAME_VALUE
unit.1.2.port.0.b.0.orderindex=-1
unit.1.2.port.0.b.0.radix=Hex
unit.1.2.port.0.b.0.signedOffset=0.0
unit.1.2.port.0.b.0.signedPrecision=0
unit.1.2.port.0.b.0.signedScaleFactor=1.0
unit.1.2.port.0.b.0.unsignedOffset=0.0
unit.1.2.port.0.b.0.unsignedPrecision=0
unit.1.2.port.0.b.0.unsignedScaleFactor=1.0
unit.1.2.port.0.b.0.visible=1
unit.1.2.port.0.buscount=1
unit.1.2.port.0.channelcount=4
unit.1.2.port.0.s.0.alias=
unit.1.2.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.0.s.0.name=RX_FRAME_VALUE[0]
unit.1.2.port.0.s.0.orderindex=-1
unit.1.2.port.0.s.0.visible=1
unit.1.2.port.0.s.1.alias=
unit.1.2.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.0.s.1.name=RX_FRAME_VALUE[1]
unit.1.2.port.0.s.1.orderindex=-1
unit.1.2.port.0.s.1.visible=1
unit.1.2.port.0.s.2.alias=
unit.1.2.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.0.s.2.name=RX_FRAME_VALUE[2]
unit.1.2.port.0.s.2.orderindex=-1
unit.1.2.port.0.s.2.visible=1
unit.1.2.port.0.s.3.alias=
unit.1.2.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.0.s.3.name=RX_FRAME_VALUE[3]
unit.1.2.port.0.s.3.orderindex=-1
unit.1.2.port.0.s.3.visible=1
unit.1.2.port.1.b.0.alias=
unit.1.2.port.1.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.2.port.1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.b.0.name=TX_DATAOUT
unit.1.2.port.1.b.0.orderindex=-1
unit.1.2.port.1.b.0.radix=Hex
unit.1.2.port.1.b.0.signedOffset=0.0
unit.1.2.port.1.b.0.signedPrecision=0
unit.1.2.port.1.b.0.signedScaleFactor=1.0
unit.1.2.port.1.b.0.unsignedOffset=0.0
unit.1.2.port.1.b.0.unsignedPrecision=0
unit.1.2.port.1.b.0.unsignedScaleFactor=1.0
unit.1.2.port.1.b.0.visible=1
unit.1.2.port.1.buscount=1
unit.1.2.port.1.channelcount=32
unit.1.2.port.1.s.0.alias=
unit.1.2.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.0.name=TX_DATAOUT[0]
unit.1.2.port.1.s.0.orderindex=-1
unit.1.2.port.1.s.0.visible=1
unit.1.2.port.1.s.1.alias=
unit.1.2.port.1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.1.name=TX_DATAOUT[1]
unit.1.2.port.1.s.1.orderindex=-1
unit.1.2.port.1.s.1.visible=1
unit.1.2.port.1.s.10.alias=
unit.1.2.port.1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.10.name=TX_DATAOUT[10]
unit.1.2.port.1.s.10.orderindex=-1
unit.1.2.port.1.s.10.visible=1
unit.1.2.port.1.s.11.alias=
unit.1.2.port.1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.11.name=TX_DATAOUT[11]
unit.1.2.port.1.s.11.orderindex=-1
unit.1.2.port.1.s.11.visible=1
unit.1.2.port.1.s.12.alias=
unit.1.2.port.1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.12.name=TX_DATAOUT[12]
unit.1.2.port.1.s.12.orderindex=-1
unit.1.2.port.1.s.12.visible=1
unit.1.2.port.1.s.13.alias=
unit.1.2.port.1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.13.name=TX_DATAOUT[13]
unit.1.2.port.1.s.13.orderindex=-1
unit.1.2.port.1.s.13.visible=1
unit.1.2.port.1.s.14.alias=
unit.1.2.port.1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.14.name=TX_DATAOUT[14]
unit.1.2.port.1.s.14.orderindex=-1
unit.1.2.port.1.s.14.visible=1
unit.1.2.port.1.s.15.alias=
unit.1.2.port.1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.15.name=TX_DATAOUT[15]
unit.1.2.port.1.s.15.orderindex=-1
unit.1.2.port.1.s.15.visible=1
unit.1.2.port.1.s.16.alias=
unit.1.2.port.1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.16.name=TX_DATAOUT[16]
unit.1.2.port.1.s.16.orderindex=-1
unit.1.2.port.1.s.16.visible=1
unit.1.2.port.1.s.17.alias=
unit.1.2.port.1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.17.name=TX_DATAOUT[17]
unit.1.2.port.1.s.17.orderindex=-1
unit.1.2.port.1.s.17.visible=1
unit.1.2.port.1.s.18.alias=
unit.1.2.port.1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.18.name=TX_DATAOUT[18]
unit.1.2.port.1.s.18.orderindex=-1
unit.1.2.port.1.s.18.visible=1
unit.1.2.port.1.s.19.alias=
unit.1.2.port.1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.19.name=TX_DATAOUT[19]
unit.1.2.port.1.s.19.orderindex=-1
unit.1.2.port.1.s.19.visible=1
unit.1.2.port.1.s.2.alias=
unit.1.2.port.1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.2.name=TX_DATAOUT[2]
unit.1.2.port.1.s.2.orderindex=-1
unit.1.2.port.1.s.2.visible=1
unit.1.2.port.1.s.20.alias=
unit.1.2.port.1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.20.name=TX_DATAOUT[20]
unit.1.2.port.1.s.20.orderindex=-1
unit.1.2.port.1.s.20.visible=1
unit.1.2.port.1.s.21.alias=
unit.1.2.port.1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.21.name=TX_DATAOUT[21]
unit.1.2.port.1.s.21.orderindex=-1
unit.1.2.port.1.s.21.visible=1
unit.1.2.port.1.s.22.alias=
unit.1.2.port.1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.22.name=TX_DATAOUT[22]
unit.1.2.port.1.s.22.orderindex=-1
unit.1.2.port.1.s.22.visible=1
unit.1.2.port.1.s.23.alias=
unit.1.2.port.1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.23.name=TX_DATAOUT[23]
unit.1.2.port.1.s.23.orderindex=-1
unit.1.2.port.1.s.23.visible=1
unit.1.2.port.1.s.24.alias=
unit.1.2.port.1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.24.name=TX_DATAOUT[24]
unit.1.2.port.1.s.24.orderindex=-1
unit.1.2.port.1.s.24.visible=1
unit.1.2.port.1.s.25.alias=
unit.1.2.port.1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.25.name=TX_DATAOUT[25]
unit.1.2.port.1.s.25.orderindex=-1
unit.1.2.port.1.s.25.visible=1
unit.1.2.port.1.s.26.alias=
unit.1.2.port.1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.26.name=TX_DATAOUT[26]
unit.1.2.port.1.s.26.orderindex=-1
unit.1.2.port.1.s.26.visible=1
unit.1.2.port.1.s.27.alias=
unit.1.2.port.1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.27.name=TX_DATAOUT[27]
unit.1.2.port.1.s.27.orderindex=-1
unit.1.2.port.1.s.27.visible=1
unit.1.2.port.1.s.28.alias=
unit.1.2.port.1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.28.name=TX_DATAOUT[28]
unit.1.2.port.1.s.28.orderindex=-1
unit.1.2.port.1.s.28.visible=1
unit.1.2.port.1.s.29.alias=
unit.1.2.port.1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.29.name=TX_DATAOUT[29]
unit.1.2.port.1.s.29.orderindex=-1
unit.1.2.port.1.s.29.visible=1
unit.1.2.port.1.s.3.alias=
unit.1.2.port.1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.3.name=TX_DATAOUT[3]
unit.1.2.port.1.s.3.orderindex=-1
unit.1.2.port.1.s.3.visible=1
unit.1.2.port.1.s.30.alias=
unit.1.2.port.1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.30.name=TX_DATAOUT[30]
unit.1.2.port.1.s.30.orderindex=-1
unit.1.2.port.1.s.30.visible=1
unit.1.2.port.1.s.31.alias=
unit.1.2.port.1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.31.name=TX_DATAOUT[31]
unit.1.2.port.1.s.31.orderindex=-1
unit.1.2.port.1.s.31.visible=1
unit.1.2.port.1.s.4.alias=
unit.1.2.port.1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.4.name=TX_DATAOUT[4]
unit.1.2.port.1.s.4.orderindex=-1
unit.1.2.port.1.s.4.visible=1
unit.1.2.port.1.s.5.alias=
unit.1.2.port.1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.5.name=TX_DATAOUT[5]
unit.1.2.port.1.s.5.orderindex=-1
unit.1.2.port.1.s.5.visible=1
unit.1.2.port.1.s.6.alias=
unit.1.2.port.1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.6.name=TX_DATAOUT[6]
unit.1.2.port.1.s.6.orderindex=-1
unit.1.2.port.1.s.6.visible=1
unit.1.2.port.1.s.7.alias=
unit.1.2.port.1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.7.name=TX_DATAOUT[7]
unit.1.2.port.1.s.7.orderindex=-1
unit.1.2.port.1.s.7.visible=1
unit.1.2.port.1.s.8.alias=
unit.1.2.port.1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.8.name=TX_DATAOUT[8]
unit.1.2.port.1.s.8.orderindex=-1
unit.1.2.port.1.s.8.visible=1
unit.1.2.port.1.s.9.alias=
unit.1.2.port.1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.1.s.9.name=TX_DATAOUT[9]
unit.1.2.port.1.s.9.orderindex=-1
unit.1.2.port.1.s.9.visible=1
unit.1.2.port.10.b.0.alias=
unit.1.2.port.10.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.2.port.10.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.b.0.name=TRIG10
unit.1.2.port.10.b.0.orderindex=-1
unit.1.2.port.10.b.0.radix=Hex
unit.1.2.port.10.b.0.signedOffset=0.0
unit.1.2.port.10.b.0.signedPrecision=0
unit.1.2.port.10.b.0.signedScaleFactor=1.0
unit.1.2.port.10.b.0.unsignedOffset=0.0
unit.1.2.port.10.b.0.unsignedPrecision=0
unit.1.2.port.10.b.0.unsignedScaleFactor=1.0
unit.1.2.port.10.b.0.visible=1
unit.1.2.port.10.buscount=1
unit.1.2.port.10.channelcount=32
unit.1.2.port.10.s.0.alias=
unit.1.2.port.10.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.0.name=SOF_DET
unit.1.2.port.10.s.0.orderindex=-1
unit.1.2.port.10.s.0.visible=1
unit.1.2.port.10.s.1.alias=
unit.1.2.port.10.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.1.name=EOF_DET
unit.1.2.port.10.s.1.orderindex=-1
unit.1.2.port.10.s.1.visible=1
unit.1.2.port.10.s.10.alias=
unit.1.2.port.10.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.10.name=START_RX
unit.1.2.port.10.s.10.orderindex=-1
unit.1.2.port.10.s.10.visible=1
unit.1.2.port.10.s.11.alias=
unit.1.2.port.10.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.11.name=RX_DONE
unit.1.2.port.10.s.11.orderindex=-1
unit.1.2.port.10.s.11.visible=1
unit.1.2.port.10.s.12.alias=
unit.1.2.port.10.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.12.name=DESCRAMBLER_DOUT_WE
unit.1.2.port.10.s.12.orderindex=-1
unit.1.2.port.10.s.12.visible=1
unit.1.2.port.10.s.13.alias=
unit.1.2.port.10.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.13.name=TX_CHARISK_OUT
unit.1.2.port.10.s.13.orderindex=-1
unit.1.2.port.10.s.13.visible=1
unit.1.2.port.10.s.14.alias=
unit.1.2.port.10.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.14.name=SW_RESET
unit.1.2.port.10.s.14.orderindex=-1
unit.1.2.port.10.s.14.visible=1
unit.1.2.port.10.s.15.alias=
unit.1.2.port.10.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.15.name=LINKUP
unit.1.2.port.10.s.15.orderindex=-1
unit.1.2.port.10.s.15.visible=1
unit.1.2.port.10.s.16.alias=
unit.1.2.port.10.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.16.name=RX_FIFO_WE_NEXT
unit.1.2.port.10.s.16.orderindex=-1
unit.1.2.port.10.s.16.visible=1
unit.1.2.port.10.s.17.alias=
unit.1.2.port.10.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.17.name=RX_FIFO_RE
unit.1.2.port.10.s.17.orderindex=-1
unit.1.2.port.10.s.17.visible=1
unit.1.2.port.10.s.18.alias=
unit.1.2.port.10.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.18.name=RX_FIFO_EMPTY
unit.1.2.port.10.s.18.orderindex=-1
unit.1.2.port.10.s.18.visible=1
unit.1.2.port.10.s.19.alias=
unit.1.2.port.10.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.19.name=DESCRAMBLER_RESET
unit.1.2.port.10.s.19.orderindex=-1
unit.1.2.port.10.s.19.visible=1
unit.1.2.port.10.s.2.alias=
unit.1.2.port.10.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.2.name=X_RDY_DET
unit.1.2.port.10.s.2.orderindex=-1
unit.1.2.port.10.s.2.visible=1
unit.1.2.port.10.s.20.alias=
unit.1.2.port.10.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.20.name=DESCRAMBLER_EN
unit.1.2.port.10.s.20.orderindex=-1
unit.1.2.port.10.s.20.visible=1
unit.1.2.port.10.s.21.alias=
unit.1.2.port.10.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.21.name=READ_FIFO_WE
unit.1.2.port.10.s.21.orderindex=-1
unit.1.2.port.10.s.21.visible=1
unit.1.2.port.10.s.22.alias=
unit.1.2.port.10.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.22.name=READ_FIFO_RE
unit.1.2.port.10.s.22.orderindex=-1
unit.1.2.port.10.s.22.visible=1
unit.1.2.port.10.s.23.alias=
unit.1.2.port.10.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.23.name=RX_FIFO_ALMOST_EMPTY
unit.1.2.port.10.s.23.orderindex=-1
unit.1.2.port.10.s.23.visible=1
unit.1.2.port.10.s.24.alias=
unit.1.2.port.10.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.24.name=HOLD_DET_R
unit.1.2.port.10.s.24.orderindex=-1
unit.1.2.port.10.s.24.visible=1
unit.1.2.port.10.s.25.alias=
unit.1.2.port.10.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.25.name=ALIGN_DET_R
unit.1.2.port.10.s.25.orderindex=-1
unit.1.2.port.10.s.25.visible=1
unit.1.2.port.10.s.26.alias=
unit.1.2.port.10.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.26.name=TWO_HOLD_DET
unit.1.2.port.10.s.26.orderindex=-1
unit.1.2.port.10.s.26.visible=1
unit.1.2.port.10.s.27.alias=abort_write
unit.1.2.port.10.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.27.name=READ_FIFO_EMPTY_I
unit.1.2.port.10.s.27.orderindex=-1
unit.1.2.port.10.s.27.visible=1
unit.1.2.port.10.s.28.alias=
unit.1.2.port.10.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.28.name=TWO_HOLD_DET_R
unit.1.2.port.10.s.28.orderindex=-1
unit.1.2.port.10.s.28.visible=1
unit.1.2.port.10.s.29.alias=
unit.1.2.port.10.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.29.name=HOLD_DET
unit.1.2.port.10.s.29.orderindex=-1
unit.1.2.port.10.s.29.visible=1
unit.1.2.port.10.s.3.alias=
unit.1.2.port.10.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.3.name=WTRM_DET
unit.1.2.port.10.s.3.orderindex=-1
unit.1.2.port.10.s.3.visible=1
unit.1.2.port.10.s.30.alias=
unit.1.2.port.10.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.30.name=HOLD_STOP_AFTER_ALIGN_DET
unit.1.2.port.10.s.30.orderindex=-1
unit.1.2.port.10.s.30.visible=1
unit.1.2.port.10.s.31.alias=
unit.1.2.port.10.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.31.name=ALIGN_DET_R2
unit.1.2.port.10.s.31.orderindex=-1
unit.1.2.port.10.s.31.visible=1
unit.1.2.port.10.s.4.alias=
unit.1.2.port.10.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.4.name=HOLD_START_DET
unit.1.2.port.10.s.4.orderindex=-1
unit.1.2.port.10.s.4.visible=1
unit.1.2.port.10.s.5.alias=
unit.1.2.port.10.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.5.name=HOLD_STOP_DET
unit.1.2.port.10.s.5.orderindex=-1
unit.1.2.port.10.s.5.visible=1
unit.1.2.port.10.s.6.alias=
unit.1.2.port.10.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.6.name=SYNC_DET
unit.1.2.port.10.s.6.orderindex=-1
unit.1.2.port.10.s.6.visible=1
unit.1.2.port.10.s.7.alias=
unit.1.2.port.10.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.7.name=CONT_DET
unit.1.2.port.10.s.7.orderindex=-1
unit.1.2.port.10.s.7.visible=1
unit.1.2.port.10.s.8.alias=
unit.1.2.port.10.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.8.name=ALIGN_DET
unit.1.2.port.10.s.8.orderindex=-1
unit.1.2.port.10.s.8.visible=1
unit.1.2.port.10.s.9.alias=
unit.1.2.port.10.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.10.s.9.name=NEW_CMD
unit.1.2.port.10.s.9.orderindex=-1
unit.1.2.port.10.s.9.visible=1
unit.1.2.port.11.b.0.alias=
unit.1.2.port.11.b.0.channellist=0 1 2 3 4 5 6 7
unit.1.2.port.11.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.11.b.0.name=DWORD_COUNT
unit.1.2.port.11.b.0.orderindex=-1
unit.1.2.port.11.b.0.radix=Hex
unit.1.2.port.11.b.0.signedOffset=0.0
unit.1.2.port.11.b.0.signedPrecision=0
unit.1.2.port.11.b.0.signedScaleFactor=1.0
unit.1.2.port.11.b.0.unsignedOffset=0.0
unit.1.2.port.11.b.0.unsignedPrecision=0
unit.1.2.port.11.b.0.unsignedScaleFactor=1.0
unit.1.2.port.11.b.0.visible=1
unit.1.2.port.11.buscount=1
unit.1.2.port.11.channelcount=8
unit.1.2.port.11.s.0.alias=
unit.1.2.port.11.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.11.s.0.name=DWORD_COUNT[0]
unit.1.2.port.11.s.0.orderindex=-1
unit.1.2.port.11.s.0.visible=1
unit.1.2.port.11.s.1.alias=
unit.1.2.port.11.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.11.s.1.name=DWORD_COUNT[1]
unit.1.2.port.11.s.1.orderindex=-1
unit.1.2.port.11.s.1.visible=1
unit.1.2.port.11.s.2.alias=
unit.1.2.port.11.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.11.s.2.name=DWORD_COUNT[2]
unit.1.2.port.11.s.2.orderindex=-1
unit.1.2.port.11.s.2.visible=1
unit.1.2.port.11.s.3.alias=
unit.1.2.port.11.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.11.s.3.name=DWORD_COUNT[3]
unit.1.2.port.11.s.3.orderindex=-1
unit.1.2.port.11.s.3.visible=1
unit.1.2.port.11.s.4.alias=
unit.1.2.port.11.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.11.s.4.name=DWORD_COUNT[4]
unit.1.2.port.11.s.4.orderindex=-1
unit.1.2.port.11.s.4.visible=1
unit.1.2.port.11.s.5.alias=
unit.1.2.port.11.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.11.s.5.name=DWORD_COUNT[5]
unit.1.2.port.11.s.5.orderindex=-1
unit.1.2.port.11.s.5.visible=1
unit.1.2.port.11.s.6.alias=
unit.1.2.port.11.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.11.s.6.name=DWORD_COUNT[6]
unit.1.2.port.11.s.6.orderindex=-1
unit.1.2.port.11.s.6.visible=1
unit.1.2.port.11.s.7.alias=
unit.1.2.port.11.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.11.s.7.name=DWORD_COUNT[7]
unit.1.2.port.11.s.7.orderindex=-1
unit.1.2.port.11.s.7.visible=1
unit.1.2.port.12.b.0.alias=
unit.1.2.port.12.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.2.port.12.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.b.0.name=RX_SECTOR_COUNT
unit.1.2.port.12.b.0.orderindex=-1
unit.1.2.port.12.b.0.radix=Hex
unit.1.2.port.12.b.0.signedOffset=0.0
unit.1.2.port.12.b.0.signedPrecision=0
unit.1.2.port.12.b.0.signedScaleFactor=1.0
unit.1.2.port.12.b.0.unsignedOffset=0.0
unit.1.2.port.12.b.0.unsignedPrecision=0
unit.1.2.port.12.b.0.unsignedScaleFactor=1.0
unit.1.2.port.12.b.0.visible=1
unit.1.2.port.12.buscount=1
unit.1.2.port.12.channelcount=16
unit.1.2.port.12.s.0.alias=
unit.1.2.port.12.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.0.name=RX_SECTOR_COUNT[0]
unit.1.2.port.12.s.0.orderindex=-1
unit.1.2.port.12.s.0.visible=1
unit.1.2.port.12.s.1.alias=
unit.1.2.port.12.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.1.name=RX_SECTOR_COUNT[1]
unit.1.2.port.12.s.1.orderindex=-1
unit.1.2.port.12.s.1.visible=1
unit.1.2.port.12.s.10.alias=
unit.1.2.port.12.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.10.name=RX_SECTOR_COUNT[10]
unit.1.2.port.12.s.10.orderindex=-1
unit.1.2.port.12.s.10.visible=1
unit.1.2.port.12.s.11.alias=
unit.1.2.port.12.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.11.name=RX_SECTOR_COUNT[11]
unit.1.2.port.12.s.11.orderindex=-1
unit.1.2.port.12.s.11.visible=1
unit.1.2.port.12.s.12.alias=
unit.1.2.port.12.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.12.name=RX_SECTOR_COUNT[12]
unit.1.2.port.12.s.12.orderindex=-1
unit.1.2.port.12.s.12.visible=1
unit.1.2.port.12.s.13.alias=
unit.1.2.port.12.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.13.name=RX_SECTOR_COUNT[13]
unit.1.2.port.12.s.13.orderindex=-1
unit.1.2.port.12.s.13.visible=1
unit.1.2.port.12.s.14.alias=
unit.1.2.port.12.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.14.name=RX_SECTOR_COUNT[14]
unit.1.2.port.12.s.14.orderindex=-1
unit.1.2.port.12.s.14.visible=1
unit.1.2.port.12.s.15.alias=
unit.1.2.port.12.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.15.name=RX_SECTOR_COUNT[15]
unit.1.2.port.12.s.15.orderindex=-1
unit.1.2.port.12.s.15.visible=1
unit.1.2.port.12.s.2.alias=
unit.1.2.port.12.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.2.name=RX_SECTOR_COUNT[2]
unit.1.2.port.12.s.2.orderindex=-1
unit.1.2.port.12.s.2.visible=1
unit.1.2.port.12.s.3.alias=
unit.1.2.port.12.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.3.name=RX_SECTOR_COUNT[3]
unit.1.2.port.12.s.3.orderindex=-1
unit.1.2.port.12.s.3.visible=1
unit.1.2.port.12.s.4.alias=
unit.1.2.port.12.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.4.name=RX_SECTOR_COUNT[4]
unit.1.2.port.12.s.4.orderindex=-1
unit.1.2.port.12.s.4.visible=1
unit.1.2.port.12.s.5.alias=
unit.1.2.port.12.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.5.name=RX_SECTOR_COUNT[5]
unit.1.2.port.12.s.5.orderindex=-1
unit.1.2.port.12.s.5.visible=1
unit.1.2.port.12.s.6.alias=
unit.1.2.port.12.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.6.name=RX_SECTOR_COUNT[6]
unit.1.2.port.12.s.6.orderindex=-1
unit.1.2.port.12.s.6.visible=1
unit.1.2.port.12.s.7.alias=
unit.1.2.port.12.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.7.name=RX_SECTOR_COUNT[7]
unit.1.2.port.12.s.7.orderindex=-1
unit.1.2.port.12.s.7.visible=1
unit.1.2.port.12.s.8.alias=
unit.1.2.port.12.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.8.name=RX_SECTOR_COUNT[8]
unit.1.2.port.12.s.8.orderindex=-1
unit.1.2.port.12.s.8.visible=1
unit.1.2.port.12.s.9.alias=
unit.1.2.port.12.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.12.s.9.name=RX_SECTOR_COUNT[9]
unit.1.2.port.12.s.9.orderindex=-1
unit.1.2.port.12.s.9.visible=1
unit.1.2.port.13.b.0.alias=
unit.1.2.port.13.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.2.port.13.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.b.0.name=DATA_FIS_DWORD_COUNT
unit.1.2.port.13.b.0.orderindex=-1
unit.1.2.port.13.b.0.radix=Hex
unit.1.2.port.13.b.0.signedOffset=0.0
unit.1.2.port.13.b.0.signedPrecision=0
unit.1.2.port.13.b.0.signedScaleFactor=1.0
unit.1.2.port.13.b.0.unsignedOffset=0.0
unit.1.2.port.13.b.0.unsignedPrecision=0
unit.1.2.port.13.b.0.unsignedScaleFactor=1.0
unit.1.2.port.13.b.0.visible=1
unit.1.2.port.13.buscount=1
unit.1.2.port.13.channelcount=16
unit.1.2.port.13.s.0.alias=
unit.1.2.port.13.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.0.name=DATA_FIS_DWORD_COUNT[0]
unit.1.2.port.13.s.0.orderindex=-1
unit.1.2.port.13.s.0.visible=1
unit.1.2.port.13.s.1.alias=
unit.1.2.port.13.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.1.name=DATA_FIS_DWORD_COUNT[1]
unit.1.2.port.13.s.1.orderindex=-1
unit.1.2.port.13.s.1.visible=1
unit.1.2.port.13.s.10.alias=
unit.1.2.port.13.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.10.name=DATA_FIS_DWORD_COUNT[10]
unit.1.2.port.13.s.10.orderindex=-1
unit.1.2.port.13.s.10.visible=1
unit.1.2.port.13.s.11.alias=
unit.1.2.port.13.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.11.name=DATA_FIS_DWORD_COUNT[11]
unit.1.2.port.13.s.11.orderindex=-1
unit.1.2.port.13.s.11.visible=1
unit.1.2.port.13.s.12.alias=
unit.1.2.port.13.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.12.name=DATA_FIS_DWORD_COUNT[12]
unit.1.2.port.13.s.12.orderindex=-1
unit.1.2.port.13.s.12.visible=1
unit.1.2.port.13.s.13.alias=
unit.1.2.port.13.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.13.name=DATA_FIS_DWORD_COUNT[13]
unit.1.2.port.13.s.13.orderindex=-1
unit.1.2.port.13.s.13.visible=1
unit.1.2.port.13.s.14.alias=
unit.1.2.port.13.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.14.name=DATA_FIS_DWORD_COUNT[14]
unit.1.2.port.13.s.14.orderindex=-1
unit.1.2.port.13.s.14.visible=1
unit.1.2.port.13.s.15.alias=
unit.1.2.port.13.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.15.name=DATA_FIS_DWORD_COUNT[15]
unit.1.2.port.13.s.15.orderindex=-1
unit.1.2.port.13.s.15.visible=1
unit.1.2.port.13.s.2.alias=
unit.1.2.port.13.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.2.name=DATA_FIS_DWORD_COUNT[2]
unit.1.2.port.13.s.2.orderindex=-1
unit.1.2.port.13.s.2.visible=1
unit.1.2.port.13.s.3.alias=
unit.1.2.port.13.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.3.name=DATA_FIS_DWORD_COUNT[3]
unit.1.2.port.13.s.3.orderindex=-1
unit.1.2.port.13.s.3.visible=1
unit.1.2.port.13.s.4.alias=
unit.1.2.port.13.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.4.name=DATA_FIS_DWORD_COUNT[4]
unit.1.2.port.13.s.4.orderindex=-1
unit.1.2.port.13.s.4.visible=1
unit.1.2.port.13.s.5.alias=
unit.1.2.port.13.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.5.name=DATA_FIS_DWORD_COUNT[5]
unit.1.2.port.13.s.5.orderindex=-1
unit.1.2.port.13.s.5.visible=1
unit.1.2.port.13.s.6.alias=
unit.1.2.port.13.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.6.name=DATA_FIS_DWORD_COUNT[6]
unit.1.2.port.13.s.6.orderindex=-1
unit.1.2.port.13.s.6.visible=1
unit.1.2.port.13.s.7.alias=
unit.1.2.port.13.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.7.name=DATA_FIS_DWORD_COUNT[7]
unit.1.2.port.13.s.7.orderindex=-1
unit.1.2.port.13.s.7.visible=1
unit.1.2.port.13.s.8.alias=
unit.1.2.port.13.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.8.name=DATA_FIS_DWORD_COUNT[8]
unit.1.2.port.13.s.8.orderindex=-1
unit.1.2.port.13.s.8.visible=1
unit.1.2.port.13.s.9.alias=
unit.1.2.port.13.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.13.s.9.name=DATA_FIS_DWORD_COUNT[9]
unit.1.2.port.13.s.9.orderindex=-1
unit.1.2.port.13.s.9.visible=1
unit.1.2.port.14.b.0.alias=
unit.1.2.port.14.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.2.port.14.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.b.0.name=DWORD_COUNT_VALUE
unit.1.2.port.14.b.0.orderindex=-1
unit.1.2.port.14.b.0.radix=Hex
unit.1.2.port.14.b.0.signedOffset=0.0
unit.1.2.port.14.b.0.signedPrecision=0
unit.1.2.port.14.b.0.signedScaleFactor=1.0
unit.1.2.port.14.b.0.unsignedOffset=0.0
unit.1.2.port.14.b.0.unsignedPrecision=0
unit.1.2.port.14.b.0.unsignedScaleFactor=1.0
unit.1.2.port.14.b.0.visible=1
unit.1.2.port.14.buscount=1
unit.1.2.port.14.channelcount=16
unit.1.2.port.14.s.0.alias=
unit.1.2.port.14.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.0.name=DWORD_COUNT_VALUE[0]
unit.1.2.port.14.s.0.orderindex=-1
unit.1.2.port.14.s.0.visible=1
unit.1.2.port.14.s.1.alias=
unit.1.2.port.14.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.1.name=DWORD_COUNT_VALUE[1]
unit.1.2.port.14.s.1.orderindex=-1
unit.1.2.port.14.s.1.visible=1
unit.1.2.port.14.s.10.alias=
unit.1.2.port.14.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.10.name=DWORD_COUNT_VALUE[10]
unit.1.2.port.14.s.10.orderindex=-1
unit.1.2.port.14.s.10.visible=1
unit.1.2.port.14.s.11.alias=
unit.1.2.port.14.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.11.name=DWORD_COUNT_VALUE[11]
unit.1.2.port.14.s.11.orderindex=-1
unit.1.2.port.14.s.11.visible=1
unit.1.2.port.14.s.12.alias=
unit.1.2.port.14.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.12.name=DWORD_COUNT_VALUE[12]
unit.1.2.port.14.s.12.orderindex=-1
unit.1.2.port.14.s.12.visible=1
unit.1.2.port.14.s.13.alias=
unit.1.2.port.14.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.13.name=DWORD_COUNT_VALUE[13]
unit.1.2.port.14.s.13.orderindex=-1
unit.1.2.port.14.s.13.visible=1
unit.1.2.port.14.s.14.alias=
unit.1.2.port.14.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.14.name=DWORD_COUNT_VALUE[14]
unit.1.2.port.14.s.14.orderindex=-1
unit.1.2.port.14.s.14.visible=1
unit.1.2.port.14.s.15.alias=
unit.1.2.port.14.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.15.name=DWORD_COUNT_VALUE[15]
unit.1.2.port.14.s.15.orderindex=-1
unit.1.2.port.14.s.15.visible=1
unit.1.2.port.14.s.2.alias=
unit.1.2.port.14.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.2.name=DWORD_COUNT_VALUE[2]
unit.1.2.port.14.s.2.orderindex=-1
unit.1.2.port.14.s.2.visible=1
unit.1.2.port.14.s.3.alias=
unit.1.2.port.14.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.3.name=DWORD_COUNT_VALUE[3]
unit.1.2.port.14.s.3.orderindex=-1
unit.1.2.port.14.s.3.visible=1
unit.1.2.port.14.s.4.alias=
unit.1.2.port.14.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.4.name=DWORD_COUNT_VALUE[4]
unit.1.2.port.14.s.4.orderindex=-1
unit.1.2.port.14.s.4.visible=1
unit.1.2.port.14.s.5.alias=
unit.1.2.port.14.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.5.name=DWORD_COUNT_VALUE[5]
unit.1.2.port.14.s.5.orderindex=-1
unit.1.2.port.14.s.5.visible=1
unit.1.2.port.14.s.6.alias=
unit.1.2.port.14.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.6.name=DWORD_COUNT_VALUE[6]
unit.1.2.port.14.s.6.orderindex=-1
unit.1.2.port.14.s.6.visible=1
unit.1.2.port.14.s.7.alias=
unit.1.2.port.14.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.7.name=DWORD_COUNT_VALUE[7]
unit.1.2.port.14.s.7.orderindex=-1
unit.1.2.port.14.s.7.visible=1
unit.1.2.port.14.s.8.alias=
unit.1.2.port.14.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.8.name=DWORD_COUNT_VALUE[8]
unit.1.2.port.14.s.8.orderindex=-1
unit.1.2.port.14.s.8.visible=1
unit.1.2.port.14.s.9.alias=
unit.1.2.port.14.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.14.s.9.name=DWORD_COUNT_VALUE[9]
unit.1.2.port.14.s.9.orderindex=-1
unit.1.2.port.14.s.9.visible=1
unit.1.2.port.15.b.0.alias=
unit.1.2.port.15.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.2.port.15.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.b.0.name=DWORD_COUNT_INIT_VALUE
unit.1.2.port.15.b.0.orderindex=-1
unit.1.2.port.15.b.0.radix=Hex
unit.1.2.port.15.b.0.signedOffset=0.0
unit.1.2.port.15.b.0.signedPrecision=0
unit.1.2.port.15.b.0.signedScaleFactor=1.0
unit.1.2.port.15.b.0.unsignedOffset=0.0
unit.1.2.port.15.b.0.unsignedPrecision=0
unit.1.2.port.15.b.0.unsignedScaleFactor=1.0
unit.1.2.port.15.b.0.visible=1
unit.1.2.port.15.buscount=1
unit.1.2.port.15.channelcount=32
unit.1.2.port.15.s.0.alias=
unit.1.2.port.15.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.0.name=DWORD_COUNT_INIT_VALUE[0]
unit.1.2.port.15.s.0.orderindex=-1
unit.1.2.port.15.s.0.visible=1
unit.1.2.port.15.s.1.alias=
unit.1.2.port.15.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.1.name=DWORD_COUNT_INIT_VALUE[1]
unit.1.2.port.15.s.1.orderindex=-1
unit.1.2.port.15.s.1.visible=1
unit.1.2.port.15.s.10.alias=
unit.1.2.port.15.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.10.name=DWORD_COUNT_INIT_VALUE[10]
unit.1.2.port.15.s.10.orderindex=-1
unit.1.2.port.15.s.10.visible=1
unit.1.2.port.15.s.11.alias=
unit.1.2.port.15.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.11.name=DWORD_COUNT_INIT_VALUE[11]
unit.1.2.port.15.s.11.orderindex=-1
unit.1.2.port.15.s.11.visible=1
unit.1.2.port.15.s.12.alias=
unit.1.2.port.15.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.12.name=DWORD_COUNT_INIT_VALUE[12]
unit.1.2.port.15.s.12.orderindex=-1
unit.1.2.port.15.s.12.visible=1
unit.1.2.port.15.s.13.alias=
unit.1.2.port.15.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.13.name=DWORD_COUNT_INIT_VALUE[13]
unit.1.2.port.15.s.13.orderindex=-1
unit.1.2.port.15.s.13.visible=1
unit.1.2.port.15.s.14.alias=
unit.1.2.port.15.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.14.name=DWORD_COUNT_INIT_VALUE[14]
unit.1.2.port.15.s.14.orderindex=-1
unit.1.2.port.15.s.14.visible=1
unit.1.2.port.15.s.15.alias=
unit.1.2.port.15.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.15.name=DWORD_COUNT_INIT_VALUE[15]
unit.1.2.port.15.s.15.orderindex=-1
unit.1.2.port.15.s.15.visible=1
unit.1.2.port.15.s.16.alias=
unit.1.2.port.15.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.16.name=DWORD_COUNT_INIT_VALUE[16]
unit.1.2.port.15.s.16.orderindex=-1
unit.1.2.port.15.s.16.visible=1
unit.1.2.port.15.s.17.alias=
unit.1.2.port.15.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.17.name=DWORD_COUNT_INIT_VALUE[17]
unit.1.2.port.15.s.17.orderindex=-1
unit.1.2.port.15.s.17.visible=1
unit.1.2.port.15.s.18.alias=
unit.1.2.port.15.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.18.name=DWORD_COUNT_INIT_VALUE[18]
unit.1.2.port.15.s.18.orderindex=-1
unit.1.2.port.15.s.18.visible=1
unit.1.2.port.15.s.19.alias=
unit.1.2.port.15.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.19.name=DWORD_COUNT_INIT_VALUE[19]
unit.1.2.port.15.s.19.orderindex=-1
unit.1.2.port.15.s.19.visible=1
unit.1.2.port.15.s.2.alias=
unit.1.2.port.15.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.2.name=DWORD_COUNT_INIT_VALUE[2]
unit.1.2.port.15.s.2.orderindex=-1
unit.1.2.port.15.s.2.visible=1
unit.1.2.port.15.s.20.alias=
unit.1.2.port.15.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.20.name=DWORD_COUNT_INIT_VALUE[20]
unit.1.2.port.15.s.20.orderindex=-1
unit.1.2.port.15.s.20.visible=1
unit.1.2.port.15.s.21.alias=
unit.1.2.port.15.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.21.name=DWORD_COUNT_INIT_VALUE[21]
unit.1.2.port.15.s.21.orderindex=-1
unit.1.2.port.15.s.21.visible=1
unit.1.2.port.15.s.22.alias=
unit.1.2.port.15.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.22.name=DWORD_COUNT_INIT_VALUE[22]
unit.1.2.port.15.s.22.orderindex=-1
unit.1.2.port.15.s.22.visible=1
unit.1.2.port.15.s.23.alias=
unit.1.2.port.15.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.23.name=DWORD_COUNT_INIT_VALUE[23]
unit.1.2.port.15.s.23.orderindex=-1
unit.1.2.port.15.s.23.visible=1
unit.1.2.port.15.s.24.alias=
unit.1.2.port.15.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.24.name=DWORD_COUNT_INIT_VALUE[24]
unit.1.2.port.15.s.24.orderindex=-1
unit.1.2.port.15.s.24.visible=1
unit.1.2.port.15.s.25.alias=
unit.1.2.port.15.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.25.name=DWORD_COUNT_INIT_VALUE[25]
unit.1.2.port.15.s.25.orderindex=-1
unit.1.2.port.15.s.25.visible=1
unit.1.2.port.15.s.26.alias=
unit.1.2.port.15.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.26.name=DWORD_COUNT_INIT_VALUE[26]
unit.1.2.port.15.s.26.orderindex=-1
unit.1.2.port.15.s.26.visible=1
unit.1.2.port.15.s.27.alias=
unit.1.2.port.15.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.27.name=DWORD_COUNT_INIT_VALUE[27]
unit.1.2.port.15.s.27.orderindex=-1
unit.1.2.port.15.s.27.visible=1
unit.1.2.port.15.s.28.alias=
unit.1.2.port.15.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.28.name=DWORD_COUNT_INIT_VALUE[28]
unit.1.2.port.15.s.28.orderindex=-1
unit.1.2.port.15.s.28.visible=1
unit.1.2.port.15.s.29.alias=
unit.1.2.port.15.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.29.name=DWORD_COUNT_INIT_VALUE[29]
unit.1.2.port.15.s.29.orderindex=-1
unit.1.2.port.15.s.29.visible=1
unit.1.2.port.15.s.3.alias=
unit.1.2.port.15.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.3.name=DWORD_COUNT_INIT_VALUE[3]
unit.1.2.port.15.s.3.orderindex=-1
unit.1.2.port.15.s.3.visible=1
unit.1.2.port.15.s.30.alias=
unit.1.2.port.15.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.30.name=DWORD_COUNT_INIT_VALUE[30]
unit.1.2.port.15.s.30.orderindex=-1
unit.1.2.port.15.s.30.visible=1
unit.1.2.port.15.s.31.alias=
unit.1.2.port.15.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.31.name=DWORD_COUNT_INIT_VALUE[31]
unit.1.2.port.15.s.31.orderindex=-1
unit.1.2.port.15.s.31.visible=1
unit.1.2.port.15.s.4.alias=
unit.1.2.port.15.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.4.name=DWORD_COUNT_INIT_VALUE[4]
unit.1.2.port.15.s.4.orderindex=-1
unit.1.2.port.15.s.4.visible=1
unit.1.2.port.15.s.5.alias=
unit.1.2.port.15.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.5.name=DWORD_COUNT_INIT_VALUE[5]
unit.1.2.port.15.s.5.orderindex=-1
unit.1.2.port.15.s.5.visible=1
unit.1.2.port.15.s.6.alias=
unit.1.2.port.15.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.6.name=DWORD_COUNT_INIT_VALUE[6]
unit.1.2.port.15.s.6.orderindex=-1
unit.1.2.port.15.s.6.visible=1
unit.1.2.port.15.s.7.alias=
unit.1.2.port.15.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.7.name=DWORD_COUNT_INIT_VALUE[7]
unit.1.2.port.15.s.7.orderindex=-1
unit.1.2.port.15.s.7.visible=1
unit.1.2.port.15.s.8.alias=
unit.1.2.port.15.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.8.name=DWORD_COUNT_INIT_VALUE[8]
unit.1.2.port.15.s.8.orderindex=-1
unit.1.2.port.15.s.8.visible=1
unit.1.2.port.15.s.9.alias=
unit.1.2.port.15.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.15.s.9.name=DWORD_COUNT_INIT_VALUE[9]
unit.1.2.port.15.s.9.orderindex=-1
unit.1.2.port.15.s.9.visible=1
unit.1.2.port.2.b.0.alias=
unit.1.2.port.2.b.0.channellist=0 1 2 3 4 5 6 7
unit.1.2.port.2.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.2.b.0.name=COMMAND_COUNT
unit.1.2.port.2.b.0.orderindex=-1
unit.1.2.port.2.b.0.radix=Hex
unit.1.2.port.2.b.0.signedOffset=0.0
unit.1.2.port.2.b.0.signedPrecision=0
unit.1.2.port.2.b.0.signedScaleFactor=1.0
unit.1.2.port.2.b.0.unsignedOffset=0.0
unit.1.2.port.2.b.0.unsignedPrecision=0
unit.1.2.port.2.b.0.unsignedScaleFactor=1.0
unit.1.2.port.2.b.0.visible=1
unit.1.2.port.2.buscount=1
unit.1.2.port.2.channelcount=8
unit.1.2.port.2.s.0.alias=
unit.1.2.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.2.s.0.name=COMMAND_COUNT[0]
unit.1.2.port.2.s.0.orderindex=-1
unit.1.2.port.2.s.0.visible=1
unit.1.2.port.2.s.1.alias=
unit.1.2.port.2.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.2.s.1.name=COMMAND_COUNT[1]
unit.1.2.port.2.s.1.orderindex=-1
unit.1.2.port.2.s.1.visible=1
unit.1.2.port.2.s.2.alias=
unit.1.2.port.2.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.2.s.2.name=COMMAND_COUNT[2]
unit.1.2.port.2.s.2.orderindex=-1
unit.1.2.port.2.s.2.visible=1
unit.1.2.port.2.s.3.alias=
unit.1.2.port.2.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.2.s.3.name=COMMAND_COUNT[3]
unit.1.2.port.2.s.3.orderindex=-1
unit.1.2.port.2.s.3.visible=1
unit.1.2.port.2.s.4.alias=
unit.1.2.port.2.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.2.s.4.name=COMMAND_COUNT[4]
unit.1.2.port.2.s.4.orderindex=-1
unit.1.2.port.2.s.4.visible=1
unit.1.2.port.2.s.5.alias=
unit.1.2.port.2.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.2.s.5.name=COMMAND_COUNT[5]
unit.1.2.port.2.s.5.orderindex=-1
unit.1.2.port.2.s.5.visible=1
unit.1.2.port.2.s.6.alias=
unit.1.2.port.2.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.2.s.6.name=COMMAND_COUNT[6]
unit.1.2.port.2.s.6.orderindex=-1
unit.1.2.port.2.s.6.visible=1
unit.1.2.port.2.s.7.alias=
unit.1.2.port.2.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.2.s.7.name=COMMAND_COUNT[7]
unit.1.2.port.2.s.7.orderindex=-1
unit.1.2.port.2.s.7.visible=1
unit.1.2.port.3.b.0.alias=
unit.1.2.port.3.b.0.channellist=0 1 2 3
unit.1.2.port.3.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.3.b.0.name=MASTER_FSM_VALUE
unit.1.2.port.3.b.0.orderindex=-1
unit.1.2.port.3.b.0.radix=Hex
unit.1.2.port.3.b.0.signedOffset=0.0
unit.1.2.port.3.b.0.signedPrecision=0
unit.1.2.port.3.b.0.signedScaleFactor=1.0
unit.1.2.port.3.b.0.unsignedOffset=0.0
unit.1.2.port.3.b.0.unsignedPrecision=0
unit.1.2.port.3.b.0.unsignedScaleFactor=1.0
unit.1.2.port.3.b.0.visible=1
unit.1.2.port.3.buscount=1
unit.1.2.port.3.channelcount=4
unit.1.2.port.3.s.0.alias=
unit.1.2.port.3.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.3.s.0.name=MASTER_FSM_VALUE[0]
unit.1.2.port.3.s.0.orderindex=-1
unit.1.2.port.3.s.0.visible=1
unit.1.2.port.3.s.1.alias=
unit.1.2.port.3.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.3.s.1.name=MASTER_FSM_VALUE[1]
unit.1.2.port.3.s.1.orderindex=-1
unit.1.2.port.3.s.1.visible=1
unit.1.2.port.3.s.2.alias=
unit.1.2.port.3.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.3.s.2.name=MASTER_FSM_VALUE[2]
unit.1.2.port.3.s.2.orderindex=-1
unit.1.2.port.3.s.2.visible=1
unit.1.2.port.3.s.3.alias=
unit.1.2.port.3.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.3.s.3.name=MASTER_FSM_VALUE[3]
unit.1.2.port.3.s.3.orderindex=-1
unit.1.2.port.3.s.3.visible=1
unit.1.2.port.4.b.0.alias=
unit.1.2.port.4.b.0.channellist=0 1 2 3
unit.1.2.port.4.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.4.b.0.name=RX_CHARISK_IN
unit.1.2.port.4.b.0.orderindex=-1
unit.1.2.port.4.b.0.radix=Hex
unit.1.2.port.4.b.0.signedOffset=0.0
unit.1.2.port.4.b.0.signedPrecision=0
unit.1.2.port.4.b.0.signedScaleFactor=1.0
unit.1.2.port.4.b.0.unsignedOffset=0.0
unit.1.2.port.4.b.0.unsignedPrecision=0
unit.1.2.port.4.b.0.unsignedScaleFactor=1.0
unit.1.2.port.4.b.0.visible=1
unit.1.2.port.4.buscount=1
unit.1.2.port.4.channelcount=4
unit.1.2.port.4.s.0.alias=
unit.1.2.port.4.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.4.s.0.name=RX_CHARISK_IN[0]
unit.1.2.port.4.s.0.orderindex=-1
unit.1.2.port.4.s.0.visible=1
unit.1.2.port.4.s.1.alias=
unit.1.2.port.4.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.4.s.1.name=RX_CHARISK_IN[1]
unit.1.2.port.4.s.1.orderindex=-1
unit.1.2.port.4.s.1.visible=1
unit.1.2.port.4.s.2.alias=
unit.1.2.port.4.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.4.s.2.name=RX_CHARISK_IN[2]
unit.1.2.port.4.s.2.orderindex=-1
unit.1.2.port.4.s.2.visible=1
unit.1.2.port.4.s.3.alias=
unit.1.2.port.4.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.4.s.3.name=RX_CHARISK_IN[3]
unit.1.2.port.4.s.3.orderindex=-1
unit.1.2.port.4.s.3.visible=1
unit.1.2.port.5.b.0.alias=
unit.1.2.port.5.b.0.channellist=0 1 2 3 4 5 6 7
unit.1.2.port.5.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.5.b.0.name=OOB_STATE
unit.1.2.port.5.b.0.orderindex=-1
unit.1.2.port.5.b.0.radix=Hex
unit.1.2.port.5.b.0.signedOffset=0.0
unit.1.2.port.5.b.0.signedPrecision=0
unit.1.2.port.5.b.0.signedScaleFactor=1.0
unit.1.2.port.5.b.0.unsignedOffset=0.0
unit.1.2.port.5.b.0.unsignedPrecision=0
unit.1.2.port.5.b.0.unsignedScaleFactor=1.0
unit.1.2.port.5.b.0.visible=1
unit.1.2.port.5.buscount=1
unit.1.2.port.5.channelcount=8
unit.1.2.port.5.s.0.alias=
unit.1.2.port.5.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.5.s.0.name=OOB_STATE[0]
unit.1.2.port.5.s.0.orderindex=-1
unit.1.2.port.5.s.0.visible=1
unit.1.2.port.5.s.1.alias=
unit.1.2.port.5.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.5.s.1.name=OOB_STATE[1]
unit.1.2.port.5.s.1.orderindex=-1
unit.1.2.port.5.s.1.visible=1
unit.1.2.port.5.s.2.alias=
unit.1.2.port.5.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.5.s.2.name=OOB_STATE[2]
unit.1.2.port.5.s.2.orderindex=-1
unit.1.2.port.5.s.2.visible=1
unit.1.2.port.5.s.3.alias=
unit.1.2.port.5.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.5.s.3.name=OOB_STATE[3]
unit.1.2.port.5.s.3.orderindex=-1
unit.1.2.port.5.s.3.visible=1
unit.1.2.port.5.s.4.alias=
unit.1.2.port.5.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.5.s.4.name=OOB_STATE[4]
unit.1.2.port.5.s.4.orderindex=-1
unit.1.2.port.5.s.4.visible=1
unit.1.2.port.5.s.5.alias=
unit.1.2.port.5.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.5.s.5.name=OOB_STATE[5]
unit.1.2.port.5.s.5.orderindex=-1
unit.1.2.port.5.s.5.visible=1
unit.1.2.port.5.s.6.alias=
unit.1.2.port.5.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.5.s.6.name=OOB_STATE[6]
unit.1.2.port.5.s.6.orderindex=-1
unit.1.2.port.5.s.6.visible=1
unit.1.2.port.5.s.7.alias=
unit.1.2.port.5.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.5.s.7.name=OOB_STATE[7]
unit.1.2.port.5.s.7.orderindex=-1
unit.1.2.port.5.s.7.visible=1
unit.1.2.port.6.b.0.alias=
unit.1.2.port.6.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.2.port.6.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.b.0.name=RX_DATAIN
unit.1.2.port.6.b.0.orderindex=-1
unit.1.2.port.6.b.0.radix=Hex
unit.1.2.port.6.b.0.signedOffset=0.0
unit.1.2.port.6.b.0.signedPrecision=0
unit.1.2.port.6.b.0.signedScaleFactor=1.0
unit.1.2.port.6.b.0.unsignedOffset=0.0
unit.1.2.port.6.b.0.unsignedPrecision=0
unit.1.2.port.6.b.0.unsignedScaleFactor=1.0
unit.1.2.port.6.b.0.visible=1
unit.1.2.port.6.buscount=1
unit.1.2.port.6.channelcount=32
unit.1.2.port.6.s.0.alias=
unit.1.2.port.6.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.0.name=RX_DATAIN[0]
unit.1.2.port.6.s.0.orderindex=-1
unit.1.2.port.6.s.0.visible=1
unit.1.2.port.6.s.1.alias=
unit.1.2.port.6.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.1.name=RX_DATAIN[1]
unit.1.2.port.6.s.1.orderindex=-1
unit.1.2.port.6.s.1.visible=1
unit.1.2.port.6.s.10.alias=
unit.1.2.port.6.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.10.name=RX_DATAIN[10]
unit.1.2.port.6.s.10.orderindex=-1
unit.1.2.port.6.s.10.visible=1
unit.1.2.port.6.s.11.alias=
unit.1.2.port.6.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.11.name=RX_DATAIN[11]
unit.1.2.port.6.s.11.orderindex=-1
unit.1.2.port.6.s.11.visible=1
unit.1.2.port.6.s.12.alias=
unit.1.2.port.6.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.12.name=RX_DATAIN[12]
unit.1.2.port.6.s.12.orderindex=-1
unit.1.2.port.6.s.12.visible=1
unit.1.2.port.6.s.13.alias=
unit.1.2.port.6.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.13.name=RX_DATAIN[13]
unit.1.2.port.6.s.13.orderindex=-1
unit.1.2.port.6.s.13.visible=1
unit.1.2.port.6.s.14.alias=
unit.1.2.port.6.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.14.name=RX_DATAIN[14]
unit.1.2.port.6.s.14.orderindex=-1
unit.1.2.port.6.s.14.visible=1
unit.1.2.port.6.s.15.alias=
unit.1.2.port.6.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.15.name=RX_DATAIN[15]
unit.1.2.port.6.s.15.orderindex=-1
unit.1.2.port.6.s.15.visible=1
unit.1.2.port.6.s.16.alias=
unit.1.2.port.6.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.16.name=RX_DATAIN[16]
unit.1.2.port.6.s.16.orderindex=-1
unit.1.2.port.6.s.16.visible=1
unit.1.2.port.6.s.17.alias=
unit.1.2.port.6.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.17.name=RX_DATAIN[17]
unit.1.2.port.6.s.17.orderindex=-1
unit.1.2.port.6.s.17.visible=1
unit.1.2.port.6.s.18.alias=
unit.1.2.port.6.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.18.name=RX_DATAIN[18]
unit.1.2.port.6.s.18.orderindex=-1
unit.1.2.port.6.s.18.visible=1
unit.1.2.port.6.s.19.alias=
unit.1.2.port.6.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.19.name=RX_DATAIN[19]
unit.1.2.port.6.s.19.orderindex=-1
unit.1.2.port.6.s.19.visible=1
unit.1.2.port.6.s.2.alias=
unit.1.2.port.6.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.2.name=RX_DATAIN[2]
unit.1.2.port.6.s.2.orderindex=-1
unit.1.2.port.6.s.2.visible=1
unit.1.2.port.6.s.20.alias=
unit.1.2.port.6.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.20.name=RX_DATAIN[20]
unit.1.2.port.6.s.20.orderindex=-1
unit.1.2.port.6.s.20.visible=1
unit.1.2.port.6.s.21.alias=
unit.1.2.port.6.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.21.name=RX_DATAIN[21]
unit.1.2.port.6.s.21.orderindex=-1
unit.1.2.port.6.s.21.visible=1
unit.1.2.port.6.s.22.alias=
unit.1.2.port.6.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.22.name=RX_DATAIN[22]
unit.1.2.port.6.s.22.orderindex=-1
unit.1.2.port.6.s.22.visible=1
unit.1.2.port.6.s.23.alias=
unit.1.2.port.6.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.23.name=RX_DATAIN[23]
unit.1.2.port.6.s.23.orderindex=-1
unit.1.2.port.6.s.23.visible=1
unit.1.2.port.6.s.24.alias=
unit.1.2.port.6.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.24.name=RX_DATAIN[24]
unit.1.2.port.6.s.24.orderindex=-1
unit.1.2.port.6.s.24.visible=1
unit.1.2.port.6.s.25.alias=
unit.1.2.port.6.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.25.name=RX_DATAIN[25]
unit.1.2.port.6.s.25.orderindex=-1
unit.1.2.port.6.s.25.visible=1
unit.1.2.port.6.s.26.alias=
unit.1.2.port.6.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.26.name=RX_DATAIN[26]
unit.1.2.port.6.s.26.orderindex=-1
unit.1.2.port.6.s.26.visible=1
unit.1.2.port.6.s.27.alias=
unit.1.2.port.6.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.27.name=RX_DATAIN[27]
unit.1.2.port.6.s.27.orderindex=-1
unit.1.2.port.6.s.27.visible=1
unit.1.2.port.6.s.28.alias=
unit.1.2.port.6.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.28.name=RX_DATAIN[28]
unit.1.2.port.6.s.28.orderindex=-1
unit.1.2.port.6.s.28.visible=1
unit.1.2.port.6.s.29.alias=
unit.1.2.port.6.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.29.name=RX_DATAIN[29]
unit.1.2.port.6.s.29.orderindex=-1
unit.1.2.port.6.s.29.visible=1
unit.1.2.port.6.s.3.alias=
unit.1.2.port.6.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.3.name=RX_DATAIN[3]
unit.1.2.port.6.s.3.orderindex=-1
unit.1.2.port.6.s.3.visible=1
unit.1.2.port.6.s.30.alias=
unit.1.2.port.6.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.30.name=RX_DATAIN[30]
unit.1.2.port.6.s.30.orderindex=-1
unit.1.2.port.6.s.30.visible=1
unit.1.2.port.6.s.31.alias=
unit.1.2.port.6.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.31.name=RX_DATAIN[31]
unit.1.2.port.6.s.31.orderindex=-1
unit.1.2.port.6.s.31.visible=1
unit.1.2.port.6.s.4.alias=
unit.1.2.port.6.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.4.name=RX_DATAIN[4]
unit.1.2.port.6.s.4.orderindex=-1
unit.1.2.port.6.s.4.visible=1
unit.1.2.port.6.s.5.alias=
unit.1.2.port.6.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.5.name=RX_DATAIN[5]
unit.1.2.port.6.s.5.orderindex=-1
unit.1.2.port.6.s.5.visible=1
unit.1.2.port.6.s.6.alias=
unit.1.2.port.6.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.6.name=RX_DATAIN[6]
unit.1.2.port.6.s.6.orderindex=-1
unit.1.2.port.6.s.6.visible=1
unit.1.2.port.6.s.7.alias=
unit.1.2.port.6.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.7.name=RX_DATAIN[7]
unit.1.2.port.6.s.7.orderindex=-1
unit.1.2.port.6.s.7.visible=1
unit.1.2.port.6.s.8.alias=
unit.1.2.port.6.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.8.name=RX_DATAIN[8]
unit.1.2.port.6.s.8.orderindex=-1
unit.1.2.port.6.s.8.visible=1
unit.1.2.port.6.s.9.alias=
unit.1.2.port.6.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.6.s.9.name=RX_DATAIN[9]
unit.1.2.port.6.s.9.orderindex=-1
unit.1.2.port.6.s.9.visible=1
unit.1.2.port.7.b.0.alias=
unit.1.2.port.7.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.2.port.7.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.b.0.name=RX_FIFO_DOUT
unit.1.2.port.7.b.0.orderindex=-1
unit.1.2.port.7.b.0.radix=Hex
unit.1.2.port.7.b.0.signedOffset=0.0
unit.1.2.port.7.b.0.signedPrecision=0
unit.1.2.port.7.b.0.signedScaleFactor=1.0
unit.1.2.port.7.b.0.unsignedOffset=0.0
unit.1.2.port.7.b.0.unsignedPrecision=0
unit.1.2.port.7.b.0.unsignedScaleFactor=1.0
unit.1.2.port.7.b.0.visible=1
unit.1.2.port.7.buscount=1
unit.1.2.port.7.channelcount=32
unit.1.2.port.7.s.0.alias=
unit.1.2.port.7.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.0.name=RX_FIFO_DOUT[0]
unit.1.2.port.7.s.0.orderindex=-1
unit.1.2.port.7.s.0.visible=1
unit.1.2.port.7.s.1.alias=
unit.1.2.port.7.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.1.name=RX_FIFO_DOUT[1]
unit.1.2.port.7.s.1.orderindex=-1
unit.1.2.port.7.s.1.visible=1
unit.1.2.port.7.s.10.alias=
unit.1.2.port.7.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.10.name=RX_FIFO_DOUT[10]
unit.1.2.port.7.s.10.orderindex=-1
unit.1.2.port.7.s.10.visible=1
unit.1.2.port.7.s.11.alias=
unit.1.2.port.7.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.11.name=RX_FIFO_DOUT[11]
unit.1.2.port.7.s.11.orderindex=-1
unit.1.2.port.7.s.11.visible=1
unit.1.2.port.7.s.12.alias=
unit.1.2.port.7.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.12.name=RX_FIFO_DOUT[12]
unit.1.2.port.7.s.12.orderindex=-1
unit.1.2.port.7.s.12.visible=1
unit.1.2.port.7.s.13.alias=
unit.1.2.port.7.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.13.name=RX_FIFO_DOUT[13]
unit.1.2.port.7.s.13.orderindex=-1
unit.1.2.port.7.s.13.visible=1
unit.1.2.port.7.s.14.alias=
unit.1.2.port.7.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.14.name=RX_FIFO_DOUT[14]
unit.1.2.port.7.s.14.orderindex=-1
unit.1.2.port.7.s.14.visible=1
unit.1.2.port.7.s.15.alias=
unit.1.2.port.7.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.15.name=RX_FIFO_DOUT[15]
unit.1.2.port.7.s.15.orderindex=-1
unit.1.2.port.7.s.15.visible=1
unit.1.2.port.7.s.16.alias=
unit.1.2.port.7.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.16.name=RX_FIFO_DOUT[16]
unit.1.2.port.7.s.16.orderindex=-1
unit.1.2.port.7.s.16.visible=1
unit.1.2.port.7.s.17.alias=
unit.1.2.port.7.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.17.name=RX_FIFO_DOUT[17]
unit.1.2.port.7.s.17.orderindex=-1
unit.1.2.port.7.s.17.visible=1
unit.1.2.port.7.s.18.alias=
unit.1.2.port.7.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.18.name=RX_FIFO_DOUT[18]
unit.1.2.port.7.s.18.orderindex=-1
unit.1.2.port.7.s.18.visible=1
unit.1.2.port.7.s.19.alias=
unit.1.2.port.7.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.19.name=RX_FIFO_DOUT[19]
unit.1.2.port.7.s.19.orderindex=-1
unit.1.2.port.7.s.19.visible=1
unit.1.2.port.7.s.2.alias=
unit.1.2.port.7.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.2.name=RX_FIFO_DOUT[2]
unit.1.2.port.7.s.2.orderindex=-1
unit.1.2.port.7.s.2.visible=1
unit.1.2.port.7.s.20.alias=
unit.1.2.port.7.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.20.name=RX_FIFO_DOUT[20]
unit.1.2.port.7.s.20.orderindex=-1
unit.1.2.port.7.s.20.visible=1
unit.1.2.port.7.s.21.alias=
unit.1.2.port.7.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.21.name=RX_FIFO_DOUT[21]
unit.1.2.port.7.s.21.orderindex=-1
unit.1.2.port.7.s.21.visible=1
unit.1.2.port.7.s.22.alias=
unit.1.2.port.7.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.22.name=RX_FIFO_DOUT[22]
unit.1.2.port.7.s.22.orderindex=-1
unit.1.2.port.7.s.22.visible=1
unit.1.2.port.7.s.23.alias=
unit.1.2.port.7.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.23.name=RX_FIFO_DOUT[23]
unit.1.2.port.7.s.23.orderindex=-1
unit.1.2.port.7.s.23.visible=1
unit.1.2.port.7.s.24.alias=
unit.1.2.port.7.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.24.name=RX_FIFO_DOUT[24]
unit.1.2.port.7.s.24.orderindex=-1
unit.1.2.port.7.s.24.visible=1
unit.1.2.port.7.s.25.alias=
unit.1.2.port.7.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.25.name=RX_FIFO_DOUT[25]
unit.1.2.port.7.s.25.orderindex=-1
unit.1.2.port.7.s.25.visible=1
unit.1.2.port.7.s.26.alias=
unit.1.2.port.7.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.26.name=RX_FIFO_DOUT[26]
unit.1.2.port.7.s.26.orderindex=-1
unit.1.2.port.7.s.26.visible=1
unit.1.2.port.7.s.27.alias=
unit.1.2.port.7.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.27.name=RX_FIFO_DOUT[27]
unit.1.2.port.7.s.27.orderindex=-1
unit.1.2.port.7.s.27.visible=1
unit.1.2.port.7.s.28.alias=
unit.1.2.port.7.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.28.name=RX_FIFO_DOUT[28]
unit.1.2.port.7.s.28.orderindex=-1
unit.1.2.port.7.s.28.visible=1
unit.1.2.port.7.s.29.alias=
unit.1.2.port.7.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.29.name=RX_FIFO_DOUT[29]
unit.1.2.port.7.s.29.orderindex=-1
unit.1.2.port.7.s.29.visible=1
unit.1.2.port.7.s.3.alias=
unit.1.2.port.7.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.3.name=RX_FIFO_DOUT[3]
unit.1.2.port.7.s.3.orderindex=-1
unit.1.2.port.7.s.3.visible=1
unit.1.2.port.7.s.30.alias=
unit.1.2.port.7.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.30.name=RX_FIFO_DOUT[30]
unit.1.2.port.7.s.30.orderindex=-1
unit.1.2.port.7.s.30.visible=1
unit.1.2.port.7.s.31.alias=
unit.1.2.port.7.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.31.name=RX_FIFO_DOUT[31]
unit.1.2.port.7.s.31.orderindex=-1
unit.1.2.port.7.s.31.visible=1
unit.1.2.port.7.s.4.alias=
unit.1.2.port.7.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.4.name=RX_FIFO_DOUT[4]
unit.1.2.port.7.s.4.orderindex=-1
unit.1.2.port.7.s.4.visible=1
unit.1.2.port.7.s.5.alias=
unit.1.2.port.7.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.5.name=RX_FIFO_DOUT[5]
unit.1.2.port.7.s.5.orderindex=-1
unit.1.2.port.7.s.5.visible=1
unit.1.2.port.7.s.6.alias=
unit.1.2.port.7.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.6.name=RX_FIFO_DOUT[6]
unit.1.2.port.7.s.6.orderindex=-1
unit.1.2.port.7.s.6.visible=1
unit.1.2.port.7.s.7.alias=
unit.1.2.port.7.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.7.name=RX_FIFO_DOUT[7]
unit.1.2.port.7.s.7.orderindex=-1
unit.1.2.port.7.s.7.visible=1
unit.1.2.port.7.s.8.alias=
unit.1.2.port.7.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.8.name=RX_FIFO_DOUT[8]
unit.1.2.port.7.s.8.orderindex=-1
unit.1.2.port.7.s.8.visible=1
unit.1.2.port.7.s.9.alias=
unit.1.2.port.7.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.7.s.9.name=RX_FIFO_DOUT[9]
unit.1.2.port.7.s.9.orderindex=-1
unit.1.2.port.7.s.9.visible=1
unit.1.2.port.8.b.0.alias=
unit.1.2.port.8.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.2.port.8.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.b.0.name=READ_FIFO_DIN
unit.1.2.port.8.b.0.orderindex=-1
unit.1.2.port.8.b.0.radix=Hex
unit.1.2.port.8.b.0.signedOffset=0.0
unit.1.2.port.8.b.0.signedPrecision=0
unit.1.2.port.8.b.0.signedScaleFactor=1.0
unit.1.2.port.8.b.0.unsignedOffset=0.0
unit.1.2.port.8.b.0.unsignedPrecision=0
unit.1.2.port.8.b.0.unsignedScaleFactor=1.0
unit.1.2.port.8.b.0.visible=1
unit.1.2.port.8.buscount=1
unit.1.2.port.8.channelcount=32
unit.1.2.port.8.s.0.alias=
unit.1.2.port.8.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.0.name=READ_FIFO_DIN[0]
unit.1.2.port.8.s.0.orderindex=-1
unit.1.2.port.8.s.0.visible=1
unit.1.2.port.8.s.1.alias=
unit.1.2.port.8.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.1.name=READ_FIFO_DIN[1]
unit.1.2.port.8.s.1.orderindex=-1
unit.1.2.port.8.s.1.visible=1
unit.1.2.port.8.s.10.alias=
unit.1.2.port.8.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.10.name=READ_FIFO_DIN[10]
unit.1.2.port.8.s.10.orderindex=-1
unit.1.2.port.8.s.10.visible=1
unit.1.2.port.8.s.11.alias=
unit.1.2.port.8.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.11.name=READ_FIFO_DIN[11]
unit.1.2.port.8.s.11.orderindex=-1
unit.1.2.port.8.s.11.visible=1
unit.1.2.port.8.s.12.alias=
unit.1.2.port.8.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.12.name=READ_FIFO_DIN[12]
unit.1.2.port.8.s.12.orderindex=-1
unit.1.2.port.8.s.12.visible=1
unit.1.2.port.8.s.13.alias=
unit.1.2.port.8.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.13.name=READ_FIFO_DIN[13]
unit.1.2.port.8.s.13.orderindex=-1
unit.1.2.port.8.s.13.visible=1
unit.1.2.port.8.s.14.alias=
unit.1.2.port.8.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.14.name=READ_FIFO_DIN[14]
unit.1.2.port.8.s.14.orderindex=-1
unit.1.2.port.8.s.14.visible=1
unit.1.2.port.8.s.15.alias=
unit.1.2.port.8.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.15.name=READ_FIFO_DIN[15]
unit.1.2.port.8.s.15.orderindex=-1
unit.1.2.port.8.s.15.visible=1
unit.1.2.port.8.s.16.alias=
unit.1.2.port.8.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.16.name=READ_FIFO_DIN[16]
unit.1.2.port.8.s.16.orderindex=-1
unit.1.2.port.8.s.16.visible=1
unit.1.2.port.8.s.17.alias=
unit.1.2.port.8.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.17.name=READ_FIFO_DIN[17]
unit.1.2.port.8.s.17.orderindex=-1
unit.1.2.port.8.s.17.visible=1
unit.1.2.port.8.s.18.alias=
unit.1.2.port.8.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.18.name=READ_FIFO_DIN[18]
unit.1.2.port.8.s.18.orderindex=-1
unit.1.2.port.8.s.18.visible=1
unit.1.2.port.8.s.19.alias=
unit.1.2.port.8.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.19.name=READ_FIFO_DIN[19]
unit.1.2.port.8.s.19.orderindex=-1
unit.1.2.port.8.s.19.visible=1
unit.1.2.port.8.s.2.alias=
unit.1.2.port.8.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.2.name=READ_FIFO_DIN[2]
unit.1.2.port.8.s.2.orderindex=-1
unit.1.2.port.8.s.2.visible=1
unit.1.2.port.8.s.20.alias=
unit.1.2.port.8.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.20.name=READ_FIFO_DIN[20]
unit.1.2.port.8.s.20.orderindex=-1
unit.1.2.port.8.s.20.visible=1
unit.1.2.port.8.s.21.alias=
unit.1.2.port.8.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.21.name=READ_FIFO_DIN[21]
unit.1.2.port.8.s.21.orderindex=-1
unit.1.2.port.8.s.21.visible=1
unit.1.2.port.8.s.22.alias=
unit.1.2.port.8.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.22.name=READ_FIFO_DIN[22]
unit.1.2.port.8.s.22.orderindex=-1
unit.1.2.port.8.s.22.visible=1
unit.1.2.port.8.s.23.alias=
unit.1.2.port.8.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.23.name=READ_FIFO_DIN[23]
unit.1.2.port.8.s.23.orderindex=-1
unit.1.2.port.8.s.23.visible=1
unit.1.2.port.8.s.24.alias=
unit.1.2.port.8.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.24.name=READ_FIFO_DIN[24]
unit.1.2.port.8.s.24.orderindex=-1
unit.1.2.port.8.s.24.visible=1
unit.1.2.port.8.s.25.alias=
unit.1.2.port.8.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.25.name=READ_FIFO_DIN[25]
unit.1.2.port.8.s.25.orderindex=-1
unit.1.2.port.8.s.25.visible=1
unit.1.2.port.8.s.26.alias=
unit.1.2.port.8.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.26.name=READ_FIFO_DIN[26]
unit.1.2.port.8.s.26.orderindex=-1
unit.1.2.port.8.s.26.visible=1
unit.1.2.port.8.s.27.alias=
unit.1.2.port.8.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.27.name=READ_FIFO_DIN[27]
unit.1.2.port.8.s.27.orderindex=-1
unit.1.2.port.8.s.27.visible=1
unit.1.2.port.8.s.28.alias=
unit.1.2.port.8.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.28.name=READ_FIFO_DIN[28]
unit.1.2.port.8.s.28.orderindex=-1
unit.1.2.port.8.s.28.visible=1
unit.1.2.port.8.s.29.alias=
unit.1.2.port.8.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.29.name=READ_FIFO_DIN[29]
unit.1.2.port.8.s.29.orderindex=-1
unit.1.2.port.8.s.29.visible=1
unit.1.2.port.8.s.3.alias=
unit.1.2.port.8.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.3.name=READ_FIFO_DIN[3]
unit.1.2.port.8.s.3.orderindex=-1
unit.1.2.port.8.s.3.visible=1
unit.1.2.port.8.s.30.alias=
unit.1.2.port.8.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.30.name=READ_FIFO_DIN[30]
unit.1.2.port.8.s.30.orderindex=-1
unit.1.2.port.8.s.30.visible=1
unit.1.2.port.8.s.31.alias=
unit.1.2.port.8.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.31.name=READ_FIFO_DIN[31]
unit.1.2.port.8.s.31.orderindex=-1
unit.1.2.port.8.s.31.visible=1
unit.1.2.port.8.s.4.alias=
unit.1.2.port.8.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.4.name=READ_FIFO_DIN[4]
unit.1.2.port.8.s.4.orderindex=-1
unit.1.2.port.8.s.4.visible=1
unit.1.2.port.8.s.5.alias=
unit.1.2.port.8.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.5.name=READ_FIFO_DIN[5]
unit.1.2.port.8.s.5.orderindex=-1
unit.1.2.port.8.s.5.visible=1
unit.1.2.port.8.s.6.alias=
unit.1.2.port.8.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.6.name=READ_FIFO_DIN[6]
unit.1.2.port.8.s.6.orderindex=-1
unit.1.2.port.8.s.6.visible=1
unit.1.2.port.8.s.7.alias=
unit.1.2.port.8.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.7.name=READ_FIFO_DIN[7]
unit.1.2.port.8.s.7.orderindex=-1
unit.1.2.port.8.s.7.visible=1
unit.1.2.port.8.s.8.alias=
unit.1.2.port.8.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.8.name=READ_FIFO_DIN[8]
unit.1.2.port.8.s.8.orderindex=-1
unit.1.2.port.8.s.8.visible=1
unit.1.2.port.8.s.9.alias=
unit.1.2.port.8.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.8.s.9.name=READ_FIFO_DIN[9]
unit.1.2.port.8.s.9.orderindex=-1
unit.1.2.port.8.s.9.visible=1
unit.1.2.port.9.b.0.alias=
unit.1.2.port.9.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.2.port.9.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.b.0.name=READ_FIFO_DOUT
unit.1.2.port.9.b.0.orderindex=-1
unit.1.2.port.9.b.0.radix=Hex
unit.1.2.port.9.b.0.signedOffset=0.0
unit.1.2.port.9.b.0.signedPrecision=0
unit.1.2.port.9.b.0.signedScaleFactor=1.0
unit.1.2.port.9.b.0.unsignedOffset=0.0
unit.1.2.port.9.b.0.unsignedPrecision=0
unit.1.2.port.9.b.0.unsignedScaleFactor=1.0
unit.1.2.port.9.b.0.visible=1
unit.1.2.port.9.buscount=1
unit.1.2.port.9.channelcount=32
unit.1.2.port.9.s.0.alias=
unit.1.2.port.9.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.0.name=READ_FIFO_DOUT[0]
unit.1.2.port.9.s.0.orderindex=-1
unit.1.2.port.9.s.0.visible=1
unit.1.2.port.9.s.1.alias=
unit.1.2.port.9.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.1.name=READ_FIFO_DOUT[1]
unit.1.2.port.9.s.1.orderindex=-1
unit.1.2.port.9.s.1.visible=1
unit.1.2.port.9.s.10.alias=
unit.1.2.port.9.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.10.name=READ_FIFO_DOUT[10]
unit.1.2.port.9.s.10.orderindex=-1
unit.1.2.port.9.s.10.visible=1
unit.1.2.port.9.s.11.alias=
unit.1.2.port.9.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.11.name=READ_FIFO_DOUT[11]
unit.1.2.port.9.s.11.orderindex=-1
unit.1.2.port.9.s.11.visible=1
unit.1.2.port.9.s.12.alias=
unit.1.2.port.9.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.12.name=READ_FIFO_DOUT[12]
unit.1.2.port.9.s.12.orderindex=-1
unit.1.2.port.9.s.12.visible=1
unit.1.2.port.9.s.13.alias=
unit.1.2.port.9.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.13.name=READ_FIFO_DOUT[13]
unit.1.2.port.9.s.13.orderindex=-1
unit.1.2.port.9.s.13.visible=1
unit.1.2.port.9.s.14.alias=
unit.1.2.port.9.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.14.name=READ_FIFO_DOUT[14]
unit.1.2.port.9.s.14.orderindex=-1
unit.1.2.port.9.s.14.visible=1
unit.1.2.port.9.s.15.alias=
unit.1.2.port.9.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.15.name=READ_FIFO_DOUT[15]
unit.1.2.port.9.s.15.orderindex=-1
unit.1.2.port.9.s.15.visible=1
unit.1.2.port.9.s.16.alias=
unit.1.2.port.9.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.16.name=READ_FIFO_DOUT[16]
unit.1.2.port.9.s.16.orderindex=-1
unit.1.2.port.9.s.16.visible=1
unit.1.2.port.9.s.17.alias=
unit.1.2.port.9.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.17.name=READ_FIFO_DOUT[17]
unit.1.2.port.9.s.17.orderindex=-1
unit.1.2.port.9.s.17.visible=1
unit.1.2.port.9.s.18.alias=
unit.1.2.port.9.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.18.name=READ_FIFO_DOUT[18]
unit.1.2.port.9.s.18.orderindex=-1
unit.1.2.port.9.s.18.visible=1
unit.1.2.port.9.s.19.alias=
unit.1.2.port.9.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.19.name=READ_FIFO_DOUT[19]
unit.1.2.port.9.s.19.orderindex=-1
unit.1.2.port.9.s.19.visible=1
unit.1.2.port.9.s.2.alias=
unit.1.2.port.9.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.2.name=READ_FIFO_DOUT[2]
unit.1.2.port.9.s.2.orderindex=-1
unit.1.2.port.9.s.2.visible=1
unit.1.2.port.9.s.20.alias=
unit.1.2.port.9.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.20.name=READ_FIFO_DOUT[20]
unit.1.2.port.9.s.20.orderindex=-1
unit.1.2.port.9.s.20.visible=1
unit.1.2.port.9.s.21.alias=
unit.1.2.port.9.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.21.name=READ_FIFO_DOUT[21]
unit.1.2.port.9.s.21.orderindex=-1
unit.1.2.port.9.s.21.visible=1
unit.1.2.port.9.s.22.alias=
unit.1.2.port.9.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.22.name=READ_FIFO_DOUT[22]
unit.1.2.port.9.s.22.orderindex=-1
unit.1.2.port.9.s.22.visible=1
unit.1.2.port.9.s.23.alias=
unit.1.2.port.9.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.23.name=READ_FIFO_DOUT[23]
unit.1.2.port.9.s.23.orderindex=-1
unit.1.2.port.9.s.23.visible=1
unit.1.2.port.9.s.24.alias=
unit.1.2.port.9.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.24.name=READ_FIFO_DOUT[24]
unit.1.2.port.9.s.24.orderindex=-1
unit.1.2.port.9.s.24.visible=1
unit.1.2.port.9.s.25.alias=
unit.1.2.port.9.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.25.name=READ_FIFO_DOUT[25]
unit.1.2.port.9.s.25.orderindex=-1
unit.1.2.port.9.s.25.visible=1
unit.1.2.port.9.s.26.alias=
unit.1.2.port.9.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.26.name=READ_FIFO_DOUT[26]
unit.1.2.port.9.s.26.orderindex=-1
unit.1.2.port.9.s.26.visible=1
unit.1.2.port.9.s.27.alias=
unit.1.2.port.9.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.27.name=READ_FIFO_DOUT[27]
unit.1.2.port.9.s.27.orderindex=-1
unit.1.2.port.9.s.27.visible=1
unit.1.2.port.9.s.28.alias=
unit.1.2.port.9.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.28.name=READ_FIFO_DOUT[28]
unit.1.2.port.9.s.28.orderindex=-1
unit.1.2.port.9.s.28.visible=1
unit.1.2.port.9.s.29.alias=
unit.1.2.port.9.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.29.name=READ_FIFO_DOUT[29]
unit.1.2.port.9.s.29.orderindex=-1
unit.1.2.port.9.s.29.visible=1
unit.1.2.port.9.s.3.alias=
unit.1.2.port.9.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.3.name=READ_FIFO_DOUT[3]
unit.1.2.port.9.s.3.orderindex=-1
unit.1.2.port.9.s.3.visible=1
unit.1.2.port.9.s.30.alias=
unit.1.2.port.9.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.30.name=READ_FIFO_DOUT[30]
unit.1.2.port.9.s.30.orderindex=-1
unit.1.2.port.9.s.30.visible=1
unit.1.2.port.9.s.31.alias=
unit.1.2.port.9.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.31.name=READ_FIFO_DOUT[31]
unit.1.2.port.9.s.31.orderindex=-1
unit.1.2.port.9.s.31.visible=1
unit.1.2.port.9.s.4.alias=
unit.1.2.port.9.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.4.name=READ_FIFO_DOUT[4]
unit.1.2.port.9.s.4.orderindex=-1
unit.1.2.port.9.s.4.visible=1
unit.1.2.port.9.s.5.alias=
unit.1.2.port.9.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.5.name=READ_FIFO_DOUT[5]
unit.1.2.port.9.s.5.orderindex=-1
unit.1.2.port.9.s.5.visible=1
unit.1.2.port.9.s.6.alias=
unit.1.2.port.9.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.6.name=READ_FIFO_DOUT[6]
unit.1.2.port.9.s.6.orderindex=-1
unit.1.2.port.9.s.6.visible=1
unit.1.2.port.9.s.7.alias=
unit.1.2.port.9.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.7.name=READ_FIFO_DOUT[7]
unit.1.2.port.9.s.7.orderindex=-1
unit.1.2.port.9.s.7.visible=1
unit.1.2.port.9.s.8.alias=
unit.1.2.port.9.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.8.name=READ_FIFO_DOUT[8]
unit.1.2.port.9.s.8.orderindex=-1
unit.1.2.port.9.s.8.visible=1
unit.1.2.port.9.s.9.alias=
unit.1.2.port.9.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.2.port.9.s.9.name=READ_FIFO_DOUT[9]
unit.1.2.port.9.s.9.orderindex=-1
unit.1.2.port.9.s.9.visible=1
unit.1.2.portcount=16
unit.1.2.rep_trigger.clobber=1
unit.1.2.rep_trigger.dir=/home/aamendon/reports/phdtheses/aamendon/code/2010/sata_core/VERSION4/base_linux_nfs_fis_retry_REG_XRDY/chipscope
unit.1.2.rep_trigger.filename=waveform
unit.1.2.rep_trigger.format=ASCII
unit.1.2.rep_trigger.loggingEnabled=0
unit.1.2.rep_trigger.signals=All Signals/Buses
unit.1.2.samplesPerTrigger=1
unit.1.2.triggerCapture=1
unit.1.2.triggerNSamplesTS=0
unit.1.2.triggerPosition=100
unit.1.2.triggerWindowCount=1
unit.1.2.triggerWindowDepth=1024
unit.1.2.triggerWindowTS=0
unit.1.2.username=RX_FRAME
unit.1.2.waveform.count=47
unit.1.2.waveform.posn.0.channel=2147483646
unit.1.2.waveform.posn.0.name=DWORD_COUNT_INIT_VALUE
unit.1.2.waveform.posn.0.radix=4
unit.1.2.waveform.posn.0.type=bus
unit.1.2.waveform.posn.1.channel=2147483646
unit.1.2.waveform.posn.1.name=DWORD_COUNT_VALUE
unit.1.2.waveform.posn.1.radix=4
unit.1.2.waveform.posn.1.type=bus
unit.1.2.waveform.posn.10.channel=2147483646
unit.1.2.waveform.posn.10.name=RX_DATAIN
unit.1.2.waveform.posn.10.radix=1
unit.1.2.waveform.posn.10.type=bus
unit.1.2.waveform.posn.100.channel=2147483646
unit.1.2.waveform.posn.100.name=TX_DATAOUT
unit.1.2.waveform.posn.100.radix=1
unit.1.2.waveform.posn.100.type=bus
unit.1.2.waveform.posn.101.channel=2147483646
unit.1.2.waveform.posn.101.name=TX_DATAOUT
unit.1.2.waveform.posn.101.radix=1
unit.1.2.waveform.posn.101.type=bus
unit.1.2.waveform.posn.102.channel=2147483646
unit.1.2.waveform.posn.102.name=TX_DATAOUT
unit.1.2.waveform.posn.102.radix=1
unit.1.2.waveform.posn.102.type=bus
unit.1.2.waveform.posn.103.channel=2147483646
unit.1.2.waveform.posn.103.name=TX_DATAOUT
unit.1.2.waveform.posn.103.radix=1
unit.1.2.waveform.posn.103.type=bus
unit.1.2.waveform.posn.104.channel=2147483646
unit.1.2.waveform.posn.104.name=TX_DATAOUT
unit.1.2.waveform.posn.104.radix=1
unit.1.2.waveform.posn.104.type=bus
unit.1.2.waveform.posn.105.channel=2147483646
unit.1.2.waveform.posn.105.name=TX_DATAOUT
unit.1.2.waveform.posn.105.radix=1
unit.1.2.waveform.posn.105.type=bus
unit.1.2.waveform.posn.106.channel=2147483646
unit.1.2.waveform.posn.106.name=TX_DATAOUT
unit.1.2.waveform.posn.106.radix=1
unit.1.2.waveform.posn.106.type=bus
unit.1.2.waveform.posn.107.channel=2147483646
unit.1.2.waveform.posn.107.name=TX_DATAOUT
unit.1.2.waveform.posn.107.radix=1
unit.1.2.waveform.posn.107.type=bus
unit.1.2.waveform.posn.108.channel=2147483646
unit.1.2.waveform.posn.108.name=TX_DATAOUT
unit.1.2.waveform.posn.108.radix=1
unit.1.2.waveform.posn.108.type=bus
unit.1.2.waveform.posn.109.channel=2147483646
unit.1.2.waveform.posn.109.name=TX_DATAOUT
unit.1.2.waveform.posn.109.radix=1
unit.1.2.waveform.posn.109.type=bus
unit.1.2.waveform.posn.11.channel=2147483646
unit.1.2.waveform.posn.11.name=OOB_STATE
unit.1.2.waveform.posn.11.radix=1
unit.1.2.waveform.posn.11.type=bus
unit.1.2.waveform.posn.110.channel=2147483646
unit.1.2.waveform.posn.110.name=TX_DATAOUT
unit.1.2.waveform.posn.110.radix=1
unit.1.2.waveform.posn.110.type=bus
unit.1.2.waveform.posn.111.channel=2147483646
unit.1.2.waveform.posn.111.name=TX_DATAOUT
unit.1.2.waveform.posn.111.radix=1
unit.1.2.waveform.posn.111.type=bus
unit.1.2.waveform.posn.112.channel=2147483646
unit.1.2.waveform.posn.112.name=TX_DATAOUT
unit.1.2.waveform.posn.112.radix=1
unit.1.2.waveform.posn.112.type=bus
unit.1.2.waveform.posn.113.channel=2147483646
unit.1.2.waveform.posn.113.name=TX_DATAOUT
unit.1.2.waveform.posn.113.radix=1
unit.1.2.waveform.posn.113.type=bus
unit.1.2.waveform.posn.114.channel=2147483646
unit.1.2.waveform.posn.114.name=TX_DATAOUT
unit.1.2.waveform.posn.114.radix=1
unit.1.2.waveform.posn.114.type=bus
unit.1.2.waveform.posn.115.channel=2147483646
unit.1.2.waveform.posn.115.name=TX_DATAOUT
unit.1.2.waveform.posn.115.radix=1
unit.1.2.waveform.posn.115.type=bus
unit.1.2.waveform.posn.116.channel=2147483646
unit.1.2.waveform.posn.116.name=TX_DATAOUT
unit.1.2.waveform.posn.116.radix=1
unit.1.2.waveform.posn.116.type=bus
unit.1.2.waveform.posn.117.channel=2147483646
unit.1.2.waveform.posn.117.name=TX_DATAOUT
unit.1.2.waveform.posn.117.radix=1
unit.1.2.waveform.posn.117.type=bus
unit.1.2.waveform.posn.118.channel=2147483646
unit.1.2.waveform.posn.118.name=TX_DATAOUT
unit.1.2.waveform.posn.118.radix=1
unit.1.2.waveform.posn.118.type=bus
unit.1.2.waveform.posn.119.channel=2147483646
unit.1.2.waveform.posn.119.name=TX_DATAOUT
unit.1.2.waveform.posn.119.radix=1
unit.1.2.waveform.posn.119.type=bus
unit.1.2.waveform.posn.12.channel=2147483646
unit.1.2.waveform.posn.12.name=RX_CHARISK_IN
unit.1.2.waveform.posn.12.radix=1
unit.1.2.waveform.posn.12.type=bus
unit.1.2.waveform.posn.120.channel=2147483646
unit.1.2.waveform.posn.120.name=TX_DATAOUT
unit.1.2.waveform.posn.120.radix=1
unit.1.2.waveform.posn.120.type=bus
unit.1.2.waveform.posn.121.channel=2147483646
unit.1.2.waveform.posn.121.name=TX_DATAOUT
unit.1.2.waveform.posn.121.radix=1
unit.1.2.waveform.posn.121.type=bus
unit.1.2.waveform.posn.122.channel=2147483646
unit.1.2.waveform.posn.122.name=TX_DATAOUT
unit.1.2.waveform.posn.122.radix=1
unit.1.2.waveform.posn.122.type=bus
unit.1.2.waveform.posn.123.channel=2147483646
unit.1.2.waveform.posn.123.name=TX_DATAOUT
unit.1.2.waveform.posn.123.radix=1
unit.1.2.waveform.posn.123.type=bus
unit.1.2.waveform.posn.124.channel=2147483646
unit.1.2.waveform.posn.124.name=TX_DATAOUT
unit.1.2.waveform.posn.124.radix=1
unit.1.2.waveform.posn.124.type=bus
unit.1.2.waveform.posn.125.channel=2147483646
unit.1.2.waveform.posn.125.name=TX_DATAOUT
unit.1.2.waveform.posn.125.radix=1
unit.1.2.waveform.posn.125.type=bus
unit.1.2.waveform.posn.126.channel=2147483646
unit.1.2.waveform.posn.126.name=TX_DATAOUT
unit.1.2.waveform.posn.126.radix=1
unit.1.2.waveform.posn.126.type=bus
unit.1.2.waveform.posn.127.channel=2147483646
unit.1.2.waveform.posn.127.name=TX_DATAOUT
unit.1.2.waveform.posn.127.radix=1
unit.1.2.waveform.posn.127.type=bus
unit.1.2.waveform.posn.128.channel=2147483646
unit.1.2.waveform.posn.128.name=TX_DATAOUT
unit.1.2.waveform.posn.128.radix=1
unit.1.2.waveform.posn.128.type=bus
unit.1.2.waveform.posn.129.channel=2147483646
unit.1.2.waveform.posn.129.name=TX_DATAOUT
unit.1.2.waveform.posn.129.radix=1
unit.1.2.waveform.posn.129.type=bus
unit.1.2.waveform.posn.13.channel=2147483646
unit.1.2.waveform.posn.13.name=MASTER_FSM_VALUE
unit.1.2.waveform.posn.13.radix=1
unit.1.2.waveform.posn.13.type=bus
unit.1.2.waveform.posn.130.channel=2147483646
unit.1.2.waveform.posn.130.name=TX_DATAOUT
unit.1.2.waveform.posn.130.radix=1
unit.1.2.waveform.posn.130.type=bus
unit.1.2.waveform.posn.131.channel=2147483646
unit.1.2.waveform.posn.131.name=TX_DATAOUT
unit.1.2.waveform.posn.131.radix=1
unit.1.2.waveform.posn.131.type=bus
unit.1.2.waveform.posn.132.channel=2147483646
unit.1.2.waveform.posn.132.name=TX_DATAOUT
unit.1.2.waveform.posn.132.radix=1
unit.1.2.waveform.posn.132.type=bus
unit.1.2.waveform.posn.133.channel=2147483646
unit.1.2.waveform.posn.133.name=TX_DATAOUT
unit.1.2.waveform.posn.133.radix=1
unit.1.2.waveform.posn.133.type=bus
unit.1.2.waveform.posn.134.channel=2147483646
unit.1.2.waveform.posn.134.name=TX_DATAOUT
unit.1.2.waveform.posn.134.radix=1
unit.1.2.waveform.posn.134.type=bus
unit.1.2.waveform.posn.135.channel=2147483646
unit.1.2.waveform.posn.135.name=TX_DATAOUT
unit.1.2.waveform.posn.135.radix=1
unit.1.2.waveform.posn.135.type=bus
unit.1.2.waveform.posn.136.channel=2147483646
unit.1.2.waveform.posn.136.name=TX_DATAOUT
unit.1.2.waveform.posn.136.radix=1
unit.1.2.waveform.posn.136.type=bus
unit.1.2.waveform.posn.137.channel=2147483646
unit.1.2.waveform.posn.137.name=TX_DATAOUT
unit.1.2.waveform.posn.137.radix=1
unit.1.2.waveform.posn.137.type=bus
unit.1.2.waveform.posn.138.channel=2147483646
unit.1.2.waveform.posn.138.name=TX_DATAOUT
unit.1.2.waveform.posn.138.radix=1
unit.1.2.waveform.posn.138.type=bus
unit.1.2.waveform.posn.139.channel=2147483646
unit.1.2.waveform.posn.139.name=TX_DATAOUT
unit.1.2.waveform.posn.139.radix=1
unit.1.2.waveform.posn.139.type=bus
unit.1.2.waveform.posn.14.channel=2147483646
unit.1.2.waveform.posn.14.name=COMMAND_COUNT
unit.1.2.waveform.posn.14.radix=4
unit.1.2.waveform.posn.14.type=bus
unit.1.2.waveform.posn.140.channel=2147483646
unit.1.2.waveform.posn.140.name=TX_DATAOUT
unit.1.2.waveform.posn.140.radix=1
unit.1.2.waveform.posn.140.type=bus
unit.1.2.waveform.posn.141.channel=2147483646
unit.1.2.waveform.posn.141.name=TX_DATAOUT
unit.1.2.waveform.posn.141.radix=1
unit.1.2.waveform.posn.141.type=bus
unit.1.2.waveform.posn.142.channel=2147483646
unit.1.2.waveform.posn.142.name=TX_DATAOUT
unit.1.2.waveform.posn.142.radix=1
unit.1.2.waveform.posn.142.type=bus
unit.1.2.waveform.posn.143.channel=2147483646
unit.1.2.waveform.posn.143.name=TX_DATAOUT
unit.1.2.waveform.posn.143.radix=1
unit.1.2.waveform.posn.143.type=bus
unit.1.2.waveform.posn.144.channel=2147483646
unit.1.2.waveform.posn.144.name=TX_DATAOUT
unit.1.2.waveform.posn.144.radix=1
unit.1.2.waveform.posn.144.type=bus
unit.1.2.waveform.posn.145.channel=2147483646
unit.1.2.waveform.posn.145.name=TX_DATAOUT
unit.1.2.waveform.posn.145.radix=1
unit.1.2.waveform.posn.145.type=bus
unit.1.2.waveform.posn.146.channel=2147483646
unit.1.2.waveform.posn.146.name=TX_DATAOUT
unit.1.2.waveform.posn.146.radix=1
unit.1.2.waveform.posn.146.type=bus
unit.1.2.waveform.posn.147.channel=2147483646
unit.1.2.waveform.posn.147.name=TX_DATAOUT
unit.1.2.waveform.posn.147.radix=1
unit.1.2.waveform.posn.147.type=bus
unit.1.2.waveform.posn.148.channel=2147483646
unit.1.2.waveform.posn.148.name=TX_DATAOUT
unit.1.2.waveform.posn.148.radix=1
unit.1.2.waveform.posn.148.type=bus
unit.1.2.waveform.posn.149.channel=2147483646
unit.1.2.waveform.posn.149.name=TX_DATAOUT
unit.1.2.waveform.posn.149.radix=1
unit.1.2.waveform.posn.149.type=bus
unit.1.2.waveform.posn.15.channel=2147483646
unit.1.2.waveform.posn.15.name=TX_DATAOUT
unit.1.2.waveform.posn.15.radix=1
unit.1.2.waveform.posn.15.type=bus
unit.1.2.waveform.posn.150.channel=2147483646
unit.1.2.waveform.posn.150.name=TX_DATAOUT
unit.1.2.waveform.posn.150.radix=1
unit.1.2.waveform.posn.150.type=bus
unit.1.2.waveform.posn.151.channel=2147483646
unit.1.2.waveform.posn.151.name=TX_DATAOUT
unit.1.2.waveform.posn.151.radix=1
unit.1.2.waveform.posn.151.type=bus
unit.1.2.waveform.posn.152.channel=2147483646
unit.1.2.waveform.posn.152.name=TX_DATAOUT
unit.1.2.waveform.posn.152.radix=1
unit.1.2.waveform.posn.152.type=bus
unit.1.2.waveform.posn.153.channel=2147483646
unit.1.2.waveform.posn.153.name=TX_DATAOUT
unit.1.2.waveform.posn.153.radix=1
unit.1.2.waveform.posn.153.type=bus
unit.1.2.waveform.posn.154.channel=2147483646
unit.1.2.waveform.posn.154.name=TX_DATAOUT
unit.1.2.waveform.posn.154.radix=1
unit.1.2.waveform.posn.154.type=bus
unit.1.2.waveform.posn.155.channel=2147483646
unit.1.2.waveform.posn.155.name=TX_DATAOUT
unit.1.2.waveform.posn.155.radix=1
unit.1.2.waveform.posn.155.type=bus
unit.1.2.waveform.posn.156.channel=2147483646
unit.1.2.waveform.posn.156.name=TX_DATAOUT
unit.1.2.waveform.posn.156.radix=1
unit.1.2.waveform.posn.156.type=bus
unit.1.2.waveform.posn.157.channel=2147483646
unit.1.2.waveform.posn.157.name=TX_DATAOUT
unit.1.2.waveform.posn.157.radix=1
unit.1.2.waveform.posn.157.type=bus
unit.1.2.waveform.posn.158.channel=2147483646
unit.1.2.waveform.posn.158.name=TX_DATAOUT
unit.1.2.waveform.posn.158.radix=1
unit.1.2.waveform.posn.158.type=bus
unit.1.2.waveform.posn.159.channel=2147483646
unit.1.2.waveform.posn.159.name=TX_DATAOUT
unit.1.2.waveform.posn.159.radix=1
unit.1.2.waveform.posn.159.type=bus
unit.1.2.waveform.posn.16.channel=2147483646
unit.1.2.waveform.posn.16.name=RX_FRAME_VALUE
unit.1.2.waveform.posn.16.radix=1
unit.1.2.waveform.posn.16.type=bus
unit.1.2.waveform.posn.160.channel=2147483646
unit.1.2.waveform.posn.160.name=TX_DATAOUT
unit.1.2.waveform.posn.160.radix=1
unit.1.2.waveform.posn.160.type=bus
unit.1.2.waveform.posn.161.channel=2147483646
unit.1.2.waveform.posn.161.name=TX_DATAOUT
unit.1.2.waveform.posn.161.radix=1
unit.1.2.waveform.posn.161.type=bus
unit.1.2.waveform.posn.162.channel=2147483646
unit.1.2.waveform.posn.162.name=TX_DATAOUT
unit.1.2.waveform.posn.162.radix=1
unit.1.2.waveform.posn.162.type=bus
unit.1.2.waveform.posn.163.channel=2147483646
unit.1.2.waveform.posn.163.name=TX_DATAOUT
unit.1.2.waveform.posn.163.radix=1
unit.1.2.waveform.posn.163.type=bus
unit.1.2.waveform.posn.164.channel=2147483646
unit.1.2.waveform.posn.164.name=TX_DATAOUT
unit.1.2.waveform.posn.164.radix=1
unit.1.2.waveform.posn.164.type=bus
unit.1.2.waveform.posn.165.channel=2147483646
unit.1.2.waveform.posn.165.name=TX_DATAOUT
unit.1.2.waveform.posn.165.radix=1
unit.1.2.waveform.posn.165.type=bus
unit.1.2.waveform.posn.166.channel=2147483646
unit.1.2.waveform.posn.166.name=TX_DATAOUT
unit.1.2.waveform.posn.166.radix=1
unit.1.2.waveform.posn.166.type=bus
unit.1.2.waveform.posn.167.channel=2147483646
unit.1.2.waveform.posn.167.name=TX_DATAOUT
unit.1.2.waveform.posn.167.radix=1
unit.1.2.waveform.posn.167.type=bus
unit.1.2.waveform.posn.168.channel=2147483646
unit.1.2.waveform.posn.168.name=TX_DATAOUT
unit.1.2.waveform.posn.168.radix=1
unit.1.2.waveform.posn.168.type=bus
unit.1.2.waveform.posn.169.channel=2147483646
unit.1.2.waveform.posn.169.name=TX_DATAOUT
unit.1.2.waveform.posn.169.radix=1
unit.1.2.waveform.posn.169.type=bus
unit.1.2.waveform.posn.17.channel=188
unit.1.2.waveform.posn.17.name=SOF_DET
unit.1.2.waveform.posn.17.radix=1
unit.1.2.waveform.posn.17.type=signal
unit.1.2.waveform.posn.170.channel=2147483646
unit.1.2.waveform.posn.170.name=TX_DATAOUT
unit.1.2.waveform.posn.170.radix=1
unit.1.2.waveform.posn.170.type=bus
unit.1.2.waveform.posn.171.channel=2147483646
unit.1.2.waveform.posn.171.name=TX_DATAOUT
unit.1.2.waveform.posn.171.radix=1
unit.1.2.waveform.posn.171.type=bus
unit.1.2.waveform.posn.172.channel=2147483646
unit.1.2.waveform.posn.172.name=TX_DATAOUT
unit.1.2.waveform.posn.172.radix=1
unit.1.2.waveform.posn.172.type=bus
unit.1.2.waveform.posn.173.channel=2147483646
unit.1.2.waveform.posn.173.name=TX_DATAOUT
unit.1.2.waveform.posn.173.radix=1
unit.1.2.waveform.posn.173.type=bus
unit.1.2.waveform.posn.174.channel=2147483646
unit.1.2.waveform.posn.174.name=TX_DATAOUT
unit.1.2.waveform.posn.174.radix=1
unit.1.2.waveform.posn.174.type=bus
unit.1.2.waveform.posn.175.channel=2147483646
unit.1.2.waveform.posn.175.name=TX_DATAOUT
unit.1.2.waveform.posn.175.radix=1
unit.1.2.waveform.posn.175.type=bus
unit.1.2.waveform.posn.176.channel=2147483646
unit.1.2.waveform.posn.176.name=TX_DATAOUT
unit.1.2.waveform.posn.176.radix=1
unit.1.2.waveform.posn.176.type=bus
unit.1.2.waveform.posn.177.channel=2147483646
unit.1.2.waveform.posn.177.name=TX_DATAOUT
unit.1.2.waveform.posn.177.radix=1
unit.1.2.waveform.posn.177.type=bus
unit.1.2.waveform.posn.178.channel=2147483646
unit.1.2.waveform.posn.178.name=TX_DATAOUT
unit.1.2.waveform.posn.178.radix=1
unit.1.2.waveform.posn.178.type=bus
unit.1.2.waveform.posn.179.channel=2147483646
unit.1.2.waveform.posn.179.name=TX_DATAOUT
unit.1.2.waveform.posn.179.radix=1
unit.1.2.waveform.posn.179.type=bus
unit.1.2.waveform.posn.18.channel=189
unit.1.2.waveform.posn.18.name=EOF_DET
unit.1.2.waveform.posn.18.radix=1
unit.1.2.waveform.posn.18.type=signal
unit.1.2.waveform.posn.180.channel=2147483646
unit.1.2.waveform.posn.180.name=TX_DATAOUT
unit.1.2.waveform.posn.180.radix=1
unit.1.2.waveform.posn.180.type=bus
unit.1.2.waveform.posn.181.channel=2147483646
unit.1.2.waveform.posn.181.name=TX_DATAOUT
unit.1.2.waveform.posn.181.radix=1
unit.1.2.waveform.posn.181.type=bus
unit.1.2.waveform.posn.182.channel=2147483646
unit.1.2.waveform.posn.182.name=TX_DATAOUT
unit.1.2.waveform.posn.182.radix=1
unit.1.2.waveform.posn.182.type=bus
unit.1.2.waveform.posn.183.channel=2147483646
unit.1.2.waveform.posn.183.name=TX_DATAOUT
unit.1.2.waveform.posn.183.radix=1
unit.1.2.waveform.posn.183.type=bus
unit.1.2.waveform.posn.184.channel=2147483646
unit.1.2.waveform.posn.184.name=TX_DATAOUT
unit.1.2.waveform.posn.184.radix=1
unit.1.2.waveform.posn.184.type=bus
unit.1.2.waveform.posn.185.channel=2147483646
unit.1.2.waveform.posn.185.name=TX_DATAOUT
unit.1.2.waveform.posn.185.radix=1
unit.1.2.waveform.posn.185.type=bus
unit.1.2.waveform.posn.186.channel=2147483646
unit.1.2.waveform.posn.186.name=TX_DATAOUT
unit.1.2.waveform.posn.186.radix=1
unit.1.2.waveform.posn.186.type=bus
unit.1.2.waveform.posn.187.channel=2147483646
unit.1.2.waveform.posn.187.name=TX_DATAOUT
unit.1.2.waveform.posn.187.radix=1
unit.1.2.waveform.posn.187.type=bus
unit.1.2.waveform.posn.188.channel=2147483646
unit.1.2.waveform.posn.188.name=TX_DATAOUT
unit.1.2.waveform.posn.188.radix=1
unit.1.2.waveform.posn.188.type=bus
unit.1.2.waveform.posn.189.channel=2147483646
unit.1.2.waveform.posn.189.name=TX_DATAOUT
unit.1.2.waveform.posn.189.radix=1
unit.1.2.waveform.posn.189.type=bus
unit.1.2.waveform.posn.19.channel=190
unit.1.2.waveform.posn.19.name=X_RDY_DET
unit.1.2.waveform.posn.19.radix=1
unit.1.2.waveform.posn.19.type=signal
unit.1.2.waveform.posn.190.channel=2147483646
unit.1.2.waveform.posn.190.name=TX_DATAOUT
unit.1.2.waveform.posn.190.radix=1
unit.1.2.waveform.posn.190.type=bus
unit.1.2.waveform.posn.191.channel=2147483646
unit.1.2.waveform.posn.191.name=TX_DATAOUT
unit.1.2.waveform.posn.191.radix=1
unit.1.2.waveform.posn.191.type=bus
unit.1.2.waveform.posn.192.channel=2147483646
unit.1.2.waveform.posn.192.name=TX_DATAOUT
unit.1.2.waveform.posn.192.radix=1
unit.1.2.waveform.posn.192.type=bus
unit.1.2.waveform.posn.193.channel=2147483646
unit.1.2.waveform.posn.193.name=TX_DATAOUT
unit.1.2.waveform.posn.193.radix=1
unit.1.2.waveform.posn.193.type=bus
unit.1.2.waveform.posn.194.channel=2147483646
unit.1.2.waveform.posn.194.name=TX_DATAOUT
unit.1.2.waveform.posn.194.radix=1
unit.1.2.waveform.posn.194.type=bus
unit.1.2.waveform.posn.195.channel=2147483646
unit.1.2.waveform.posn.195.name=TX_DATAOUT
unit.1.2.waveform.posn.195.radix=1
unit.1.2.waveform.posn.195.type=bus
unit.1.2.waveform.posn.196.channel=2147483646
unit.1.2.waveform.posn.196.name=TX_DATAOUT
unit.1.2.waveform.posn.196.radix=1
unit.1.2.waveform.posn.196.type=bus
unit.1.2.waveform.posn.197.channel=2147483646
unit.1.2.waveform.posn.197.name=TX_DATAOUT
unit.1.2.waveform.posn.197.radix=1
unit.1.2.waveform.posn.197.type=bus
unit.1.2.waveform.posn.198.channel=2147483646
unit.1.2.waveform.posn.198.name=TX_DATAOUT
unit.1.2.waveform.posn.198.radix=1
unit.1.2.waveform.posn.198.type=bus
unit.1.2.waveform.posn.199.channel=2147483646
unit.1.2.waveform.posn.199.name=TX_DATAOUT
unit.1.2.waveform.posn.199.radix=1
unit.1.2.waveform.posn.199.type=bus
unit.1.2.waveform.posn.2.channel=2147483646
unit.1.2.waveform.posn.2.name=DATA_FIS_DWORD_COUNT
unit.1.2.waveform.posn.2.radix=4
unit.1.2.waveform.posn.2.type=bus
unit.1.2.waveform.posn.20.channel=191
unit.1.2.waveform.posn.20.name=WTRM_DET
unit.1.2.waveform.posn.20.radix=1
unit.1.2.waveform.posn.20.type=signal
unit.1.2.waveform.posn.200.channel=2147483646
unit.1.2.waveform.posn.200.name=TX_DATAOUT
unit.1.2.waveform.posn.200.radix=1
unit.1.2.waveform.posn.200.type=bus
unit.1.2.waveform.posn.201.channel=2147483646
unit.1.2.waveform.posn.201.name=TX_DATAOUT
unit.1.2.waveform.posn.201.radix=1
unit.1.2.waveform.posn.201.type=bus
unit.1.2.waveform.posn.202.channel=2147483646
unit.1.2.waveform.posn.202.name=TX_DATAOUT
unit.1.2.waveform.posn.202.radix=1
unit.1.2.waveform.posn.202.type=bus
unit.1.2.waveform.posn.203.channel=2147483646
unit.1.2.waveform.posn.203.name=TX_DATAOUT
unit.1.2.waveform.posn.203.radix=1
unit.1.2.waveform.posn.203.type=bus
unit.1.2.waveform.posn.204.channel=2147483646
unit.1.2.waveform.posn.204.name=TX_DATAOUT
unit.1.2.waveform.posn.204.radix=1
unit.1.2.waveform.posn.204.type=bus
unit.1.2.waveform.posn.205.channel=2147483646
unit.1.2.waveform.posn.205.name=TX_DATAOUT
unit.1.2.waveform.posn.205.radix=1
unit.1.2.waveform.posn.205.type=bus
unit.1.2.waveform.posn.206.channel=2147483646
unit.1.2.waveform.posn.206.name=TX_DATAOUT
unit.1.2.waveform.posn.206.radix=1
unit.1.2.waveform.posn.206.type=bus
unit.1.2.waveform.posn.207.channel=2147483646
unit.1.2.waveform.posn.207.name=TX_DATAOUT
unit.1.2.waveform.posn.207.radix=1
unit.1.2.waveform.posn.207.type=bus
unit.1.2.waveform.posn.208.channel=2147483646
unit.1.2.waveform.posn.208.name=TX_DATAOUT
unit.1.2.waveform.posn.208.radix=1
unit.1.2.waveform.posn.208.type=bus
unit.1.2.waveform.posn.209.channel=2147483646
unit.1.2.waveform.posn.209.name=TX_DATAOUT
unit.1.2.waveform.posn.209.radix=1
unit.1.2.waveform.posn.209.type=bus
unit.1.2.waveform.posn.21.channel=192
unit.1.2.waveform.posn.21.name=HOLD_START_DET
unit.1.2.waveform.posn.21.radix=1
unit.1.2.waveform.posn.21.type=signal
unit.1.2.waveform.posn.210.channel=2147483646
unit.1.2.waveform.posn.210.name=TX_DATAOUT
unit.1.2.waveform.posn.210.radix=1
unit.1.2.waveform.posn.210.type=bus
unit.1.2.waveform.posn.211.channel=2147483646
unit.1.2.waveform.posn.211.name=TX_DATAOUT
unit.1.2.waveform.posn.211.radix=1
unit.1.2.waveform.posn.211.type=bus
unit.1.2.waveform.posn.212.channel=2147483646
unit.1.2.waveform.posn.212.name=TX_DATAOUT
unit.1.2.waveform.posn.212.radix=1
unit.1.2.waveform.posn.212.type=bus
unit.1.2.waveform.posn.213.channel=2147483646
unit.1.2.waveform.posn.213.name=TX_DATAOUT
unit.1.2.waveform.posn.213.radix=1
unit.1.2.waveform.posn.213.type=bus
unit.1.2.waveform.posn.214.channel=2147483646
unit.1.2.waveform.posn.214.name=TX_DATAOUT
unit.1.2.waveform.posn.214.radix=1
unit.1.2.waveform.posn.214.type=bus
unit.1.2.waveform.posn.215.channel=2147483646
unit.1.2.waveform.posn.215.name=TX_DATAOUT
unit.1.2.waveform.posn.215.radix=1
unit.1.2.waveform.posn.215.type=bus
unit.1.2.waveform.posn.216.channel=2147483646
unit.1.2.waveform.posn.216.name=TX_DATAOUT
unit.1.2.waveform.posn.216.radix=1
unit.1.2.waveform.posn.216.type=bus
unit.1.2.waveform.posn.217.channel=2147483646
unit.1.2.waveform.posn.217.name=TX_DATAOUT
unit.1.2.waveform.posn.217.radix=1
unit.1.2.waveform.posn.217.type=bus
unit.1.2.waveform.posn.218.channel=2147483646
unit.1.2.waveform.posn.218.name=TX_DATAOUT
unit.1.2.waveform.posn.218.radix=1
unit.1.2.waveform.posn.218.type=bus
unit.1.2.waveform.posn.219.channel=2147483646
unit.1.2.waveform.posn.219.name=TX_DATAOUT
unit.1.2.waveform.posn.219.radix=1
unit.1.2.waveform.posn.219.type=bus
unit.1.2.waveform.posn.22.channel=193
unit.1.2.waveform.posn.22.name=HOLD_STOP_DET
unit.1.2.waveform.posn.22.radix=1
unit.1.2.waveform.posn.22.type=signal
unit.1.2.waveform.posn.220.channel=2147483646
unit.1.2.waveform.posn.220.name=TX_DATAOUT
unit.1.2.waveform.posn.220.radix=1
unit.1.2.waveform.posn.220.type=bus
unit.1.2.waveform.posn.221.channel=2147483646
unit.1.2.waveform.posn.221.name=TX_DATAOUT
unit.1.2.waveform.posn.221.radix=1
unit.1.2.waveform.posn.221.type=bus
unit.1.2.waveform.posn.222.channel=2147483646
unit.1.2.waveform.posn.222.name=TX_DATAOUT
unit.1.2.waveform.posn.222.radix=1
unit.1.2.waveform.posn.222.type=bus
unit.1.2.waveform.posn.223.channel=2147483646
unit.1.2.waveform.posn.223.name=TX_DATAOUT
unit.1.2.waveform.posn.223.radix=1
unit.1.2.waveform.posn.223.type=bus
unit.1.2.waveform.posn.224.channel=2147483646
unit.1.2.waveform.posn.224.name=TX_DATAOUT
unit.1.2.waveform.posn.224.radix=1
unit.1.2.waveform.posn.224.type=bus
unit.1.2.waveform.posn.225.channel=2147483646
unit.1.2.waveform.posn.225.name=TX_DATAOUT
unit.1.2.waveform.posn.225.radix=1
unit.1.2.waveform.posn.225.type=bus
unit.1.2.waveform.posn.226.channel=2147483646
unit.1.2.waveform.posn.226.name=TX_DATAOUT
unit.1.2.waveform.posn.226.radix=1
unit.1.2.waveform.posn.226.type=bus
unit.1.2.waveform.posn.227.channel=2147483646
unit.1.2.waveform.posn.227.name=TX_DATAOUT
unit.1.2.waveform.posn.227.radix=1
unit.1.2.waveform.posn.227.type=bus
unit.1.2.waveform.posn.228.channel=2147483646
unit.1.2.waveform.posn.228.name=TX_DATAOUT
unit.1.2.waveform.posn.228.radix=1
unit.1.2.waveform.posn.228.type=bus
unit.1.2.waveform.posn.229.channel=2147483646
unit.1.2.waveform.posn.229.name=TX_DATAOUT
unit.1.2.waveform.posn.229.radix=1
unit.1.2.waveform.posn.229.type=bus
unit.1.2.waveform.posn.23.channel=194
unit.1.2.waveform.posn.23.name=SYNC_DET
unit.1.2.waveform.posn.23.radix=1
unit.1.2.waveform.posn.23.type=signal
unit.1.2.waveform.posn.230.channel=2147483646
unit.1.2.waveform.posn.230.name=TX_DATAOUT
unit.1.2.waveform.posn.230.radix=1
unit.1.2.waveform.posn.230.type=bus
unit.1.2.waveform.posn.231.channel=2147483646
unit.1.2.waveform.posn.231.name=TX_DATAOUT
unit.1.2.waveform.posn.231.radix=1
unit.1.2.waveform.posn.231.type=bus
unit.1.2.waveform.posn.232.channel=2147483646
unit.1.2.waveform.posn.232.name=TX_DATAOUT
unit.1.2.waveform.posn.232.radix=1
unit.1.2.waveform.posn.232.type=bus
unit.1.2.waveform.posn.233.channel=2147483646
unit.1.2.waveform.posn.233.name=TX_DATAOUT
unit.1.2.waveform.posn.233.radix=1
unit.1.2.waveform.posn.233.type=bus
unit.1.2.waveform.posn.234.channel=2147483646
unit.1.2.waveform.posn.234.name=TX_DATAOUT
unit.1.2.waveform.posn.234.radix=1
unit.1.2.waveform.posn.234.type=bus
unit.1.2.waveform.posn.235.channel=2147483646
unit.1.2.waveform.posn.235.name=TX_DATAOUT
unit.1.2.waveform.posn.235.radix=1
unit.1.2.waveform.posn.235.type=bus
unit.1.2.waveform.posn.236.channel=2147483646
unit.1.2.waveform.posn.236.name=TX_DATAOUT
unit.1.2.waveform.posn.236.radix=1
unit.1.2.waveform.posn.236.type=bus
unit.1.2.waveform.posn.237.channel=2147483646
unit.1.2.waveform.posn.237.name=TX_DATAOUT
unit.1.2.waveform.posn.237.radix=1
unit.1.2.waveform.posn.237.type=bus
unit.1.2.waveform.posn.238.channel=2147483646
unit.1.2.waveform.posn.238.name=TX_DATAOUT
unit.1.2.waveform.posn.238.radix=1
unit.1.2.waveform.posn.238.type=bus
unit.1.2.waveform.posn.239.channel=2147483646
unit.1.2.waveform.posn.239.name=TX_DATAOUT
unit.1.2.waveform.posn.239.radix=1
unit.1.2.waveform.posn.239.type=bus
unit.1.2.waveform.posn.24.channel=195
unit.1.2.waveform.posn.24.name=CONT_DET
unit.1.2.waveform.posn.24.radix=1
unit.1.2.waveform.posn.24.type=signal
unit.1.2.waveform.posn.240.channel=2147483646
unit.1.2.waveform.posn.240.name=TX_DATAOUT
unit.1.2.waveform.posn.240.radix=1
unit.1.2.waveform.posn.240.type=bus
unit.1.2.waveform.posn.241.channel=2147483646
unit.1.2.waveform.posn.241.name=TX_DATAOUT
unit.1.2.waveform.posn.241.radix=1
unit.1.2.waveform.posn.241.type=bus
unit.1.2.waveform.posn.242.channel=2147483646
unit.1.2.waveform.posn.242.name=TX_DATAOUT
unit.1.2.waveform.posn.242.radix=1
unit.1.2.waveform.posn.242.type=bus
unit.1.2.waveform.posn.243.channel=2147483646
unit.1.2.waveform.posn.243.name=TX_DATAOUT
unit.1.2.waveform.posn.243.radix=1
unit.1.2.waveform.posn.243.type=bus
unit.1.2.waveform.posn.244.channel=2147483646
unit.1.2.waveform.posn.244.name=TX_DATAOUT
unit.1.2.waveform.posn.244.radix=1
unit.1.2.waveform.posn.244.type=bus
unit.1.2.waveform.posn.245.channel=2147483646
unit.1.2.waveform.posn.245.name=TX_DATAOUT
unit.1.2.waveform.posn.245.radix=1
unit.1.2.waveform.posn.245.type=bus
unit.1.2.waveform.posn.246.channel=2147483646
unit.1.2.waveform.posn.246.name=TX_DATAOUT
unit.1.2.waveform.posn.246.radix=1
unit.1.2.waveform.posn.246.type=bus
unit.1.2.waveform.posn.247.channel=2147483646
unit.1.2.waveform.posn.247.name=TX_DATAOUT
unit.1.2.waveform.posn.247.radix=1
unit.1.2.waveform.posn.247.type=bus
unit.1.2.waveform.posn.248.channel=2147483646
unit.1.2.waveform.posn.248.name=TX_DATAOUT
unit.1.2.waveform.posn.248.radix=1
unit.1.2.waveform.posn.248.type=bus
unit.1.2.waveform.posn.249.channel=2147483646
unit.1.2.waveform.posn.249.name=TX_DATAOUT
unit.1.2.waveform.posn.249.radix=1
unit.1.2.waveform.posn.249.type=bus
unit.1.2.waveform.posn.25.channel=196
unit.1.2.waveform.posn.25.name=ALIGN_DET
unit.1.2.waveform.posn.25.radix=1
unit.1.2.waveform.posn.25.type=signal
unit.1.2.waveform.posn.250.channel=2147483646
unit.1.2.waveform.posn.250.name=TX_DATAOUT
unit.1.2.waveform.posn.250.radix=1
unit.1.2.waveform.posn.250.type=bus
unit.1.2.waveform.posn.251.channel=2147483646
unit.1.2.waveform.posn.251.name=TX_DATAOUT
unit.1.2.waveform.posn.251.radix=1
unit.1.2.waveform.posn.251.type=bus
unit.1.2.waveform.posn.252.channel=2147483646
unit.1.2.waveform.posn.252.name=TX_DATAOUT
unit.1.2.waveform.posn.252.radix=1
unit.1.2.waveform.posn.252.type=bus
unit.1.2.waveform.posn.253.channel=2147483646
unit.1.2.waveform.posn.253.name=TX_DATAOUT
unit.1.2.waveform.posn.253.radix=1
unit.1.2.waveform.posn.253.type=bus
unit.1.2.waveform.posn.254.channel=2147483646
unit.1.2.waveform.posn.254.name=TX_DATAOUT
unit.1.2.waveform.posn.254.radix=1
unit.1.2.waveform.posn.254.type=bus
unit.1.2.waveform.posn.255.channel=2147483646
unit.1.2.waveform.posn.255.name=TX_DATAOUT
unit.1.2.waveform.posn.255.radix=1
unit.1.2.waveform.posn.255.type=bus
unit.1.2.waveform.posn.256.channel=2147483646
unit.1.2.waveform.posn.256.name=TX_DATAOUT
unit.1.2.waveform.posn.256.radix=1
unit.1.2.waveform.posn.256.type=bus
unit.1.2.waveform.posn.257.channel=2147483646
unit.1.2.waveform.posn.257.name=TX_DATAOUT
unit.1.2.waveform.posn.257.radix=1
unit.1.2.waveform.posn.257.type=bus
unit.1.2.waveform.posn.258.channel=2147483646
unit.1.2.waveform.posn.258.name=TX_DATAOUT
unit.1.2.waveform.posn.258.radix=1
unit.1.2.waveform.posn.258.type=bus
unit.1.2.waveform.posn.259.channel=2147483646
unit.1.2.waveform.posn.259.name=TX_DATAOUT
unit.1.2.waveform.posn.259.radix=1
unit.1.2.waveform.posn.259.type=bus
unit.1.2.waveform.posn.26.channel=197
unit.1.2.waveform.posn.26.name=NEW_CMD
unit.1.2.waveform.posn.26.radix=1
unit.1.2.waveform.posn.26.type=signal
unit.1.2.waveform.posn.260.channel=2147483646
unit.1.2.waveform.posn.260.name=TX_DATAOUT
unit.1.2.waveform.posn.260.radix=1
unit.1.2.waveform.posn.260.type=bus
unit.1.2.waveform.posn.261.channel=2147483646
unit.1.2.waveform.posn.261.name=TX_DATAOUT
unit.1.2.waveform.posn.261.radix=1
unit.1.2.waveform.posn.261.type=bus
unit.1.2.waveform.posn.262.channel=2147483646
unit.1.2.waveform.posn.262.name=TX_DATAOUT
unit.1.2.waveform.posn.262.radix=1
unit.1.2.waveform.posn.262.type=bus
unit.1.2.waveform.posn.263.channel=2147483646
unit.1.2.waveform.posn.263.name=TX_DATAOUT
unit.1.2.waveform.posn.263.radix=1
unit.1.2.waveform.posn.263.type=bus
unit.1.2.waveform.posn.264.channel=2147483646
unit.1.2.waveform.posn.264.name=TX_DATAOUT
unit.1.2.waveform.posn.264.radix=1
unit.1.2.waveform.posn.264.type=bus
unit.1.2.waveform.posn.265.channel=2147483646
unit.1.2.waveform.posn.265.name=TX_DATAOUT
unit.1.2.waveform.posn.265.radix=1
unit.1.2.waveform.posn.265.type=bus
unit.1.2.waveform.posn.266.channel=2147483646
unit.1.2.waveform.posn.266.name=TX_DATAOUT
unit.1.2.waveform.posn.266.radix=1
unit.1.2.waveform.posn.266.type=bus
unit.1.2.waveform.posn.267.channel=2147483646
unit.1.2.waveform.posn.267.name=TX_DATAOUT
unit.1.2.waveform.posn.267.radix=1
unit.1.2.waveform.posn.267.type=bus
unit.1.2.waveform.posn.268.channel=2147483646
unit.1.2.waveform.posn.268.name=TX_DATAOUT
unit.1.2.waveform.posn.268.radix=1
unit.1.2.waveform.posn.268.type=bus
unit.1.2.waveform.posn.269.channel=2147483646
unit.1.2.waveform.posn.269.name=TX_DATAOUT
unit.1.2.waveform.posn.269.radix=1
unit.1.2.waveform.posn.269.type=bus
unit.1.2.waveform.posn.27.channel=198
unit.1.2.waveform.posn.27.name=START_RX
unit.1.2.waveform.posn.27.radix=1
unit.1.2.waveform.posn.27.type=signal
unit.1.2.waveform.posn.270.channel=2147483646
unit.1.2.waveform.posn.270.name=TX_DATAOUT
unit.1.2.waveform.posn.270.radix=1
unit.1.2.waveform.posn.270.type=bus
unit.1.2.waveform.posn.271.channel=2147483646
unit.1.2.waveform.posn.271.name=TX_DATAOUT
unit.1.2.waveform.posn.271.radix=1
unit.1.2.waveform.posn.271.type=bus
unit.1.2.waveform.posn.272.channel=2147483646
unit.1.2.waveform.posn.272.name=TX_DATAOUT
unit.1.2.waveform.posn.272.radix=1
unit.1.2.waveform.posn.272.type=bus
unit.1.2.waveform.posn.273.channel=2147483646
unit.1.2.waveform.posn.273.name=TX_DATAOUT
unit.1.2.waveform.posn.273.radix=1
unit.1.2.waveform.posn.273.type=bus
unit.1.2.waveform.posn.274.channel=2147483646
unit.1.2.waveform.posn.274.name=TX_DATAOUT
unit.1.2.waveform.posn.274.radix=1
unit.1.2.waveform.posn.274.type=bus
unit.1.2.waveform.posn.275.channel=2147483646
unit.1.2.waveform.posn.275.name=TX_DATAOUT
unit.1.2.waveform.posn.275.radix=1
unit.1.2.waveform.posn.275.type=bus
unit.1.2.waveform.posn.276.channel=2147483646
unit.1.2.waveform.posn.276.name=TX_DATAOUT
unit.1.2.waveform.posn.276.radix=1
unit.1.2.waveform.posn.276.type=bus
unit.1.2.waveform.posn.277.channel=2147483646
unit.1.2.waveform.posn.277.name=TX_DATAOUT
unit.1.2.waveform.posn.277.radix=1
unit.1.2.waveform.posn.277.type=bus
unit.1.2.waveform.posn.278.channel=2147483646
unit.1.2.waveform.posn.278.name=TX_DATAOUT
unit.1.2.waveform.posn.278.radix=1
unit.1.2.waveform.posn.278.type=bus
unit.1.2.waveform.posn.279.channel=2147483646
unit.1.2.waveform.posn.279.name=TX_DATAOUT
unit.1.2.waveform.posn.279.radix=1
unit.1.2.waveform.posn.279.type=bus
unit.1.2.waveform.posn.28.channel=199
unit.1.2.waveform.posn.28.name=RX_DONE
unit.1.2.waveform.posn.28.radix=1
unit.1.2.waveform.posn.28.type=signal
unit.1.2.waveform.posn.280.channel=2147483646
unit.1.2.waveform.posn.280.name=TX_DATAOUT
unit.1.2.waveform.posn.280.radix=1
unit.1.2.waveform.posn.280.type=bus
unit.1.2.waveform.posn.281.channel=2147483646
unit.1.2.waveform.posn.281.name=TX_DATAOUT
unit.1.2.waveform.posn.281.radix=1
unit.1.2.waveform.posn.281.type=bus
unit.1.2.waveform.posn.282.channel=2147483646
unit.1.2.waveform.posn.282.name=TX_DATAOUT
unit.1.2.waveform.posn.282.radix=1
unit.1.2.waveform.posn.282.type=bus
unit.1.2.waveform.posn.283.channel=2147483646
unit.1.2.waveform.posn.283.name=TX_DATAOUT
unit.1.2.waveform.posn.283.radix=1
unit.1.2.waveform.posn.283.type=bus
unit.1.2.waveform.posn.284.channel=2147483646
unit.1.2.waveform.posn.284.name=TX_DATAOUT
unit.1.2.waveform.posn.284.radix=1
unit.1.2.waveform.posn.284.type=bus
unit.1.2.waveform.posn.285.channel=2147483646
unit.1.2.waveform.posn.285.name=TX_DATAOUT
unit.1.2.waveform.posn.285.radix=1
unit.1.2.waveform.posn.285.type=bus
unit.1.2.waveform.posn.286.channel=2147483646
unit.1.2.waveform.posn.286.name=TX_DATAOUT
unit.1.2.waveform.posn.286.radix=1
unit.1.2.waveform.posn.286.type=bus
unit.1.2.waveform.posn.287.channel=2147483646
unit.1.2.waveform.posn.287.name=TX_DATAOUT
unit.1.2.waveform.posn.287.radix=1
unit.1.2.waveform.posn.287.type=bus
unit.1.2.waveform.posn.288.channel=2147483646
unit.1.2.waveform.posn.288.name=TX_DATAOUT
unit.1.2.waveform.posn.288.radix=1
unit.1.2.waveform.posn.288.type=bus
unit.1.2.waveform.posn.289.channel=2147483646
unit.1.2.waveform.posn.289.name=TX_DATAOUT
unit.1.2.waveform.posn.289.radix=1
unit.1.2.waveform.posn.289.type=bus
unit.1.2.waveform.posn.29.channel=200
unit.1.2.waveform.posn.29.name=DESCRAMBLER_DOUT_WE
unit.1.2.waveform.posn.29.radix=1
unit.1.2.waveform.posn.29.type=signal
unit.1.2.waveform.posn.290.channel=2147483646
unit.1.2.waveform.posn.290.name=TX_DATAOUT
unit.1.2.waveform.posn.290.radix=1
unit.1.2.waveform.posn.290.type=bus
unit.1.2.waveform.posn.291.channel=2147483646
unit.1.2.waveform.posn.291.name=TX_DATAOUT
unit.1.2.waveform.posn.291.radix=1
unit.1.2.waveform.posn.291.type=bus
unit.1.2.waveform.posn.292.channel=2147483646
unit.1.2.waveform.posn.292.name=TX_DATAOUT
unit.1.2.waveform.posn.292.radix=1
unit.1.2.waveform.posn.292.type=bus
unit.1.2.waveform.posn.293.channel=2147483646
unit.1.2.waveform.posn.293.name=TX_DATAOUT
unit.1.2.waveform.posn.293.radix=1
unit.1.2.waveform.posn.293.type=bus
unit.1.2.waveform.posn.294.channel=2147483646
unit.1.2.waveform.posn.294.name=TX_DATAOUT
unit.1.2.waveform.posn.294.radix=1
unit.1.2.waveform.posn.294.type=bus
unit.1.2.waveform.posn.295.channel=2147483646
unit.1.2.waveform.posn.295.name=TX_DATAOUT
unit.1.2.waveform.posn.295.radix=1
unit.1.2.waveform.posn.295.type=bus
unit.1.2.waveform.posn.296.channel=2147483646
unit.1.2.waveform.posn.296.name=TX_DATAOUT
unit.1.2.waveform.posn.296.radix=1
unit.1.2.waveform.posn.296.type=bus
unit.1.2.waveform.posn.297.channel=2147483646
unit.1.2.waveform.posn.297.name=TX_DATAOUT
unit.1.2.waveform.posn.297.radix=1
unit.1.2.waveform.posn.297.type=bus
unit.1.2.waveform.posn.298.channel=2147483646
unit.1.2.waveform.posn.298.name=TX_DATAOUT
unit.1.2.waveform.posn.298.radix=1
unit.1.2.waveform.posn.298.type=bus
unit.1.2.waveform.posn.299.channel=2147483646
unit.1.2.waveform.posn.299.name=TX_DATAOUT
unit.1.2.waveform.posn.299.radix=1
unit.1.2.waveform.posn.299.type=bus
unit.1.2.waveform.posn.3.channel=2147483646
unit.1.2.waveform.posn.3.name=RX_SECTOR_COUNT
unit.1.2.waveform.posn.3.radix=4
unit.1.2.waveform.posn.3.type=bus
unit.1.2.waveform.posn.30.channel=201
unit.1.2.waveform.posn.30.name=TX_CHARISK_OUT
unit.1.2.waveform.posn.30.radix=1
unit.1.2.waveform.posn.30.type=signal
unit.1.2.waveform.posn.300.channel=2147483646
unit.1.2.waveform.posn.300.name=TX_DATAOUT
unit.1.2.waveform.posn.300.radix=1
unit.1.2.waveform.posn.300.type=bus
unit.1.2.waveform.posn.301.channel=2147483646
unit.1.2.waveform.posn.301.name=TX_DATAOUT
unit.1.2.waveform.posn.301.radix=1
unit.1.2.waveform.posn.301.type=bus
unit.1.2.waveform.posn.302.channel=2147483646
unit.1.2.waveform.posn.302.name=TX_DATAOUT
unit.1.2.waveform.posn.302.radix=1
unit.1.2.waveform.posn.302.type=bus
unit.1.2.waveform.posn.303.channel=2147483646
unit.1.2.waveform.posn.303.name=TX_DATAOUT
unit.1.2.waveform.posn.303.radix=1
unit.1.2.waveform.posn.303.type=bus
unit.1.2.waveform.posn.304.channel=2147483646
unit.1.2.waveform.posn.304.name=TX_DATAOUT
unit.1.2.waveform.posn.304.radix=1
unit.1.2.waveform.posn.304.type=bus
unit.1.2.waveform.posn.305.channel=2147483646
unit.1.2.waveform.posn.305.name=TX_DATAOUT
unit.1.2.waveform.posn.305.radix=1
unit.1.2.waveform.posn.305.type=bus
unit.1.2.waveform.posn.306.channel=2147483646
unit.1.2.waveform.posn.306.name=TX_DATAOUT
unit.1.2.waveform.posn.306.radix=1
unit.1.2.waveform.posn.306.type=bus
unit.1.2.waveform.posn.307.channel=2147483646
unit.1.2.waveform.posn.307.name=TX_DATAOUT
unit.1.2.waveform.posn.307.radix=1
unit.1.2.waveform.posn.307.type=bus
unit.1.2.waveform.posn.308.channel=2147483646
unit.1.2.waveform.posn.308.name=TX_DATAOUT
unit.1.2.waveform.posn.308.radix=1
unit.1.2.waveform.posn.308.type=bus
unit.1.2.waveform.posn.309.channel=2147483646
unit.1.2.waveform.posn.309.name=TX_DATAOUT
unit.1.2.waveform.posn.309.radix=1
unit.1.2.waveform.posn.309.type=bus
unit.1.2.waveform.posn.31.channel=202
unit.1.2.waveform.posn.31.name=SW_RESET
unit.1.2.waveform.posn.31.radix=1
unit.1.2.waveform.posn.31.type=signal
unit.1.2.waveform.posn.310.channel=2147483646
unit.1.2.waveform.posn.310.name=TX_DATAOUT
unit.1.2.waveform.posn.310.radix=1
unit.1.2.waveform.posn.310.type=bus
unit.1.2.waveform.posn.311.channel=2147483646
unit.1.2.waveform.posn.311.name=TX_DATAOUT
unit.1.2.waveform.posn.311.radix=1
unit.1.2.waveform.posn.311.type=bus
unit.1.2.waveform.posn.312.channel=2147483646
unit.1.2.waveform.posn.312.name=TX_DATAOUT
unit.1.2.waveform.posn.312.radix=1
unit.1.2.waveform.posn.312.type=bus
unit.1.2.waveform.posn.313.channel=2147483646
unit.1.2.waveform.posn.313.name=TX_DATAOUT
unit.1.2.waveform.posn.313.radix=1
unit.1.2.waveform.posn.313.type=bus
unit.1.2.waveform.posn.314.channel=2147483646
unit.1.2.waveform.posn.314.name=TX_DATAOUT
unit.1.2.waveform.posn.314.radix=1
unit.1.2.waveform.posn.314.type=bus
unit.1.2.waveform.posn.315.channel=2147483646
unit.1.2.waveform.posn.315.name=TX_DATAOUT
unit.1.2.waveform.posn.315.radix=1
unit.1.2.waveform.posn.315.type=bus
unit.1.2.waveform.posn.316.channel=2147483646
unit.1.2.waveform.posn.316.name=TX_DATAOUT
unit.1.2.waveform.posn.316.radix=1
unit.1.2.waveform.posn.316.type=bus
unit.1.2.waveform.posn.317.channel=2147483646
unit.1.2.waveform.posn.317.name=TX_DATAOUT
unit.1.2.waveform.posn.317.radix=1
unit.1.2.waveform.posn.317.type=bus
unit.1.2.waveform.posn.318.channel=2147483646
unit.1.2.waveform.posn.318.name=TX_DATAOUT
unit.1.2.waveform.posn.318.radix=1
unit.1.2.waveform.posn.318.type=bus
unit.1.2.waveform.posn.319.channel=2147483646
unit.1.2.waveform.posn.319.name=TX_DATAOUT
unit.1.2.waveform.posn.319.radix=1
unit.1.2.waveform.posn.319.type=bus
unit.1.2.waveform.posn.32.channel=203
unit.1.2.waveform.posn.32.name=LINKUP
unit.1.2.waveform.posn.32.radix=1
unit.1.2.waveform.posn.32.type=signal
unit.1.2.waveform.posn.320.channel=2147483646
unit.1.2.waveform.posn.320.name=TX_DATAOUT
unit.1.2.waveform.posn.320.radix=1
unit.1.2.waveform.posn.320.type=bus
unit.1.2.waveform.posn.321.channel=2147483646
unit.1.2.waveform.posn.321.name=TX_DATAOUT
unit.1.2.waveform.posn.321.radix=1
unit.1.2.waveform.posn.321.type=bus
unit.1.2.waveform.posn.322.channel=2147483646
unit.1.2.waveform.posn.322.name=TX_DATAOUT
unit.1.2.waveform.posn.322.radix=1
unit.1.2.waveform.posn.322.type=bus
unit.1.2.waveform.posn.323.channel=2147483646
unit.1.2.waveform.posn.323.name=TX_DATAOUT
unit.1.2.waveform.posn.323.radix=1
unit.1.2.waveform.posn.323.type=bus
unit.1.2.waveform.posn.33.channel=204
unit.1.2.waveform.posn.33.name=RX_FIFO_WE_NEXT
unit.1.2.waveform.posn.33.radix=1
unit.1.2.waveform.posn.33.type=signal
unit.1.2.waveform.posn.34.channel=205
unit.1.2.waveform.posn.34.name=RX_FIFO_RE
unit.1.2.waveform.posn.34.radix=1
unit.1.2.waveform.posn.34.type=signal
unit.1.2.waveform.posn.35.channel=206
unit.1.2.waveform.posn.35.name=RX_FIFO_EMPTY
unit.1.2.waveform.posn.35.radix=1
unit.1.2.waveform.posn.35.type=signal
unit.1.2.waveform.posn.36.channel=207
unit.1.2.waveform.posn.36.name=DESCRAMBLER_RESET
unit.1.2.waveform.posn.36.radix=1
unit.1.2.waveform.posn.36.type=signal
unit.1.2.waveform.posn.37.channel=208
unit.1.2.waveform.posn.37.name=DESCRAMBLER_EN
unit.1.2.waveform.posn.37.radix=1
unit.1.2.waveform.posn.37.type=signal
unit.1.2.waveform.posn.38.channel=211
unit.1.2.waveform.posn.38.name=RX_FIFO_ALMOST_EMPTY
unit.1.2.waveform.posn.38.radix=1
unit.1.2.waveform.posn.38.type=signal
unit.1.2.waveform.posn.39.channel=212
unit.1.2.waveform.posn.39.name=HOLD_DET_R
unit.1.2.waveform.posn.39.radix=1
unit.1.2.waveform.posn.39.type=signal
unit.1.2.waveform.posn.4.channel=2147483646
unit.1.2.waveform.posn.4.name=DWORD_COUNT
unit.1.2.waveform.posn.4.radix=1
unit.1.2.waveform.posn.4.type=bus
unit.1.2.waveform.posn.40.channel=213
unit.1.2.waveform.posn.40.name=ALIGN_DET_R
unit.1.2.waveform.posn.40.radix=1
unit.1.2.waveform.posn.40.type=signal
unit.1.2.waveform.posn.41.channel=214
unit.1.2.waveform.posn.41.name=TWO_HOLD_DET
unit.1.2.waveform.posn.41.radix=1
unit.1.2.waveform.posn.41.type=signal
unit.1.2.waveform.posn.42.channel=215
unit.1.2.waveform.posn.42.name=abort_write
unit.1.2.waveform.posn.42.radix=1
unit.1.2.waveform.posn.42.type=signal
unit.1.2.waveform.posn.43.channel=216
unit.1.2.waveform.posn.43.name=TWO_HOLD_DET_R
unit.1.2.waveform.posn.43.radix=1
unit.1.2.waveform.posn.43.type=signal
unit.1.2.waveform.posn.44.channel=217
unit.1.2.waveform.posn.44.name=HOLD_DET
unit.1.2.waveform.posn.44.radix=1
unit.1.2.waveform.posn.44.type=signal
unit.1.2.waveform.posn.45.channel=218
unit.1.2.waveform.posn.45.name=HOLD_STOP_AFTER_ALIGN_DET
unit.1.2.waveform.posn.45.radix=1
unit.1.2.waveform.posn.45.type=signal
unit.1.2.waveform.posn.46.channel=219
unit.1.2.waveform.posn.46.name=ALIGN_DET_R2
unit.1.2.waveform.posn.46.radix=1
unit.1.2.waveform.posn.46.type=signal
unit.1.2.waveform.posn.47.channel=219
unit.1.2.waveform.posn.47.name=ALIGN_DET_R2
unit.1.2.waveform.posn.47.radix=1
unit.1.2.waveform.posn.47.type=signal
unit.1.2.waveform.posn.48.channel=219
unit.1.2.waveform.posn.48.name=ALIGN_DET_R2
unit.1.2.waveform.posn.48.radix=1
unit.1.2.waveform.posn.48.type=signal
unit.1.2.waveform.posn.49.channel=2147483646
unit.1.2.waveform.posn.49.name=TX_DATAOUT
unit.1.2.waveform.posn.49.radix=1
unit.1.2.waveform.posn.49.type=bus
unit.1.2.waveform.posn.5.channel=210
unit.1.2.waveform.posn.5.name=READ_FIFO_RE
unit.1.2.waveform.posn.5.radix=4
unit.1.2.waveform.posn.5.type=signal
unit.1.2.waveform.posn.50.channel=2147483646
unit.1.2.waveform.posn.50.name=TX_DATAOUT
unit.1.2.waveform.posn.50.radix=1
unit.1.2.waveform.posn.50.type=bus
unit.1.2.waveform.posn.51.channel=2147483646
unit.1.2.waveform.posn.51.name=TX_DATAOUT
unit.1.2.waveform.posn.51.radix=1
unit.1.2.waveform.posn.51.type=bus
unit.1.2.waveform.posn.52.channel=2147483646
unit.1.2.waveform.posn.52.name=TX_DATAOUT
unit.1.2.waveform.posn.52.radix=1
unit.1.2.waveform.posn.52.type=bus
unit.1.2.waveform.posn.53.channel=2147483646
unit.1.2.waveform.posn.53.name=TX_DATAOUT
unit.1.2.waveform.posn.53.radix=1
unit.1.2.waveform.posn.53.type=bus
unit.1.2.waveform.posn.54.channel=2147483646
unit.1.2.waveform.posn.54.name=TX_DATAOUT
unit.1.2.waveform.posn.54.radix=1
unit.1.2.waveform.posn.54.type=bus
unit.1.2.waveform.posn.55.channel=2147483646
unit.1.2.waveform.posn.55.name=TX_DATAOUT
unit.1.2.waveform.posn.55.radix=1
unit.1.2.waveform.posn.55.type=bus
unit.1.2.waveform.posn.56.channel=2147483646
unit.1.2.waveform.posn.56.name=TX_DATAOUT
unit.1.2.waveform.posn.56.radix=1
unit.1.2.waveform.posn.56.type=bus
unit.1.2.waveform.posn.57.channel=2147483646
unit.1.2.waveform.posn.57.name=TX_DATAOUT
unit.1.2.waveform.posn.57.radix=1
unit.1.2.waveform.posn.57.type=bus
unit.1.2.waveform.posn.58.channel=2147483646
unit.1.2.waveform.posn.58.name=TX_DATAOUT
unit.1.2.waveform.posn.58.radix=1
unit.1.2.waveform.posn.58.type=bus
unit.1.2.waveform.posn.59.channel=2147483646
unit.1.2.waveform.posn.59.name=TX_DATAOUT
unit.1.2.waveform.posn.59.radix=1
unit.1.2.waveform.posn.59.type=bus
unit.1.2.waveform.posn.6.channel=2147483646
unit.1.2.waveform.posn.6.name=READ_FIFO_DOUT
unit.1.2.waveform.posn.6.radix=4
unit.1.2.waveform.posn.6.type=bus
unit.1.2.waveform.posn.60.channel=2147483646
unit.1.2.waveform.posn.60.name=TX_DATAOUT
unit.1.2.waveform.posn.60.radix=1
unit.1.2.waveform.posn.60.type=bus
unit.1.2.waveform.posn.61.channel=2147483646
unit.1.2.waveform.posn.61.name=TX_DATAOUT
unit.1.2.waveform.posn.61.radix=1
unit.1.2.waveform.posn.61.type=bus
unit.1.2.waveform.posn.62.channel=2147483646
unit.1.2.waveform.posn.62.name=TX_DATAOUT
unit.1.2.waveform.posn.62.radix=1
unit.1.2.waveform.posn.62.type=bus
unit.1.2.waveform.posn.63.channel=2147483646
unit.1.2.waveform.posn.63.name=TX_DATAOUT
unit.1.2.waveform.posn.63.radix=1
unit.1.2.waveform.posn.63.type=bus
unit.1.2.waveform.posn.64.channel=2147483646
unit.1.2.waveform.posn.64.name=TX_DATAOUT
unit.1.2.waveform.posn.64.radix=1
unit.1.2.waveform.posn.64.type=bus
unit.1.2.waveform.posn.65.channel=2147483646
unit.1.2.waveform.posn.65.name=TX_DATAOUT
unit.1.2.waveform.posn.65.radix=1
unit.1.2.waveform.posn.65.type=bus
unit.1.2.waveform.posn.66.channel=2147483646
unit.1.2.waveform.posn.66.name=TX_DATAOUT
unit.1.2.waveform.posn.66.radix=1
unit.1.2.waveform.posn.66.type=bus
unit.1.2.waveform.posn.67.channel=2147483646
unit.1.2.waveform.posn.67.name=TX_DATAOUT
unit.1.2.waveform.posn.67.radix=1
unit.1.2.waveform.posn.67.type=bus
unit.1.2.waveform.posn.68.channel=2147483646
unit.1.2.waveform.posn.68.name=TX_DATAOUT
unit.1.2.waveform.posn.68.radix=1
unit.1.2.waveform.posn.68.type=bus
unit.1.2.waveform.posn.69.channel=2147483646
unit.1.2.waveform.posn.69.name=TX_DATAOUT
unit.1.2.waveform.posn.69.radix=1
unit.1.2.waveform.posn.69.type=bus
unit.1.2.waveform.posn.7.channel=209
unit.1.2.waveform.posn.7.name=READ_FIFO_WE
unit.1.2.waveform.posn.7.radix=1
unit.1.2.waveform.posn.7.type=signal
unit.1.2.waveform.posn.70.channel=2147483646
unit.1.2.waveform.posn.70.name=TX_DATAOUT
unit.1.2.waveform.posn.70.radix=1
unit.1.2.waveform.posn.70.type=bus
unit.1.2.waveform.posn.71.channel=2147483646
unit.1.2.waveform.posn.71.name=TX_DATAOUT
unit.1.2.waveform.posn.71.radix=1
unit.1.2.waveform.posn.71.type=bus
unit.1.2.waveform.posn.72.channel=2147483646
unit.1.2.waveform.posn.72.name=TX_DATAOUT
unit.1.2.waveform.posn.72.radix=1
unit.1.2.waveform.posn.72.type=bus
unit.1.2.waveform.posn.73.channel=2147483646
unit.1.2.waveform.posn.73.name=TX_DATAOUT
unit.1.2.waveform.posn.73.radix=1
unit.1.2.waveform.posn.73.type=bus
unit.1.2.waveform.posn.74.channel=2147483646
unit.1.2.waveform.posn.74.name=TX_DATAOUT
unit.1.2.waveform.posn.74.radix=1
unit.1.2.waveform.posn.74.type=bus
unit.1.2.waveform.posn.75.channel=2147483646
unit.1.2.waveform.posn.75.name=TX_DATAOUT
unit.1.2.waveform.posn.75.radix=1
unit.1.2.waveform.posn.75.type=bus
unit.1.2.waveform.posn.76.channel=2147483646
unit.1.2.waveform.posn.76.name=TX_DATAOUT
unit.1.2.waveform.posn.76.radix=1
unit.1.2.waveform.posn.76.type=bus
unit.1.2.waveform.posn.77.channel=2147483646
unit.1.2.waveform.posn.77.name=TX_DATAOUT
unit.1.2.waveform.posn.77.radix=1
unit.1.2.waveform.posn.77.type=bus
unit.1.2.waveform.posn.78.channel=2147483646
unit.1.2.waveform.posn.78.name=TX_DATAOUT
unit.1.2.waveform.posn.78.radix=1
unit.1.2.waveform.posn.78.type=bus
unit.1.2.waveform.posn.79.channel=2147483646
unit.1.2.waveform.posn.79.name=TX_DATAOUT
unit.1.2.waveform.posn.79.radix=1
unit.1.2.waveform.posn.79.type=bus
unit.1.2.waveform.posn.8.channel=2147483646
unit.1.2.waveform.posn.8.name=READ_FIFO_DIN
unit.1.2.waveform.posn.8.radix=4
unit.1.2.waveform.posn.8.type=bus
unit.1.2.waveform.posn.80.channel=2147483646
unit.1.2.waveform.posn.80.name=TX_DATAOUT
unit.1.2.waveform.posn.80.radix=1
unit.1.2.waveform.posn.80.type=bus
unit.1.2.waveform.posn.81.channel=2147483646
unit.1.2.waveform.posn.81.name=TX_DATAOUT
unit.1.2.waveform.posn.81.radix=1
unit.1.2.waveform.posn.81.type=bus
unit.1.2.waveform.posn.82.channel=2147483646
unit.1.2.waveform.posn.82.name=TX_DATAOUT
unit.1.2.waveform.posn.82.radix=1
unit.1.2.waveform.posn.82.type=bus
unit.1.2.waveform.posn.83.channel=2147483646
unit.1.2.waveform.posn.83.name=TX_DATAOUT
unit.1.2.waveform.posn.83.radix=1
unit.1.2.waveform.posn.83.type=bus
unit.1.2.waveform.posn.84.channel=2147483646
unit.1.2.waveform.posn.84.name=TX_DATAOUT
unit.1.2.waveform.posn.84.radix=1
unit.1.2.waveform.posn.84.type=bus
unit.1.2.waveform.posn.85.channel=2147483646
unit.1.2.waveform.posn.85.name=TX_DATAOUT
unit.1.2.waveform.posn.85.radix=1
unit.1.2.waveform.posn.85.type=bus
unit.1.2.waveform.posn.86.channel=2147483646
unit.1.2.waveform.posn.86.name=TX_DATAOUT
unit.1.2.waveform.posn.86.radix=1
unit.1.2.waveform.posn.86.type=bus
unit.1.2.waveform.posn.87.channel=2147483646
unit.1.2.waveform.posn.87.name=TX_DATAOUT
unit.1.2.waveform.posn.87.radix=1
unit.1.2.waveform.posn.87.type=bus
unit.1.2.waveform.posn.88.channel=2147483646
unit.1.2.waveform.posn.88.name=TX_DATAOUT
unit.1.2.waveform.posn.88.radix=1
unit.1.2.waveform.posn.88.type=bus
unit.1.2.waveform.posn.89.channel=2147483646
unit.1.2.waveform.posn.89.name=TX_DATAOUT
unit.1.2.waveform.posn.89.radix=1
unit.1.2.waveform.posn.89.type=bus
unit.1.2.waveform.posn.9.channel=2147483646
unit.1.2.waveform.posn.9.name=RX_FIFO_DOUT
unit.1.2.waveform.posn.9.radix=1
unit.1.2.waveform.posn.9.type=bus
unit.1.2.waveform.posn.90.channel=2147483646
unit.1.2.waveform.posn.90.name=TX_DATAOUT
unit.1.2.waveform.posn.90.radix=1
unit.1.2.waveform.posn.90.type=bus
unit.1.2.waveform.posn.91.channel=2147483646
unit.1.2.waveform.posn.91.name=TX_DATAOUT
unit.1.2.waveform.posn.91.radix=1
unit.1.2.waveform.posn.91.type=bus
unit.1.2.waveform.posn.92.channel=2147483646
unit.1.2.waveform.posn.92.name=TX_DATAOUT
unit.1.2.waveform.posn.92.radix=1
unit.1.2.waveform.posn.92.type=bus
unit.1.2.waveform.posn.93.channel=2147483646
unit.1.2.waveform.posn.93.name=TX_DATAOUT
unit.1.2.waveform.posn.93.radix=1
unit.1.2.waveform.posn.93.type=bus
unit.1.2.waveform.posn.94.channel=2147483646
unit.1.2.waveform.posn.94.name=TX_DATAOUT
unit.1.2.waveform.posn.94.radix=1
unit.1.2.waveform.posn.94.type=bus
unit.1.2.waveform.posn.95.channel=2147483646
unit.1.2.waveform.posn.95.name=TX_DATAOUT
unit.1.2.waveform.posn.95.radix=1
unit.1.2.waveform.posn.95.type=bus
unit.1.2.waveform.posn.96.channel=2147483646
unit.1.2.waveform.posn.96.name=TX_DATAOUT
unit.1.2.waveform.posn.96.radix=1
unit.1.2.waveform.posn.96.type=bus
unit.1.2.waveform.posn.97.channel=2147483646
unit.1.2.waveform.posn.97.name=TX_DATAOUT
unit.1.2.waveform.posn.97.radix=1
unit.1.2.waveform.posn.97.type=bus
unit.1.2.waveform.posn.98.channel=2147483646
unit.1.2.waveform.posn.98.name=TX_DATAOUT
unit.1.2.waveform.posn.98.radix=1
unit.1.2.waveform.posn.98.type=bus
unit.1.2.waveform.posn.99.channel=2147483646
unit.1.2.waveform.posn.99.name=TX_DATAOUT
unit.1.2.waveform.posn.99.radix=1
unit.1.2.waveform.posn.99.type=bus
unit.1.3.0.HEIGHT0=0.5674232
unit.1.3.0.TriggerRow0=1
unit.1.3.0.TriggerRow1=1
unit.1.3.0.TriggerRow2=1
unit.1.3.0.WIDTH0=0.9423256
unit.1.3.0.X0=0.04372093
unit.1.3.0.Y0=0.38718292
unit.1.3.1.HEIGHT1=0.72897196
unit.1.3.1.WIDTH1=0.932093
unit.1.3.1.X1=0.027906977
unit.1.3.1.Y1=0.029372497
unit.1.3.MFBitsA0=0001
unit.1.3.MFBitsA1=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.3.MFBitsA10=XXXXXXXXXXXXXXXXXXXXXXXXXX1XXXXX
unit.1.3.MFBitsA11=01011111000000000000000000000000
unit.1.3.MFBitsA12=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.3.MFBitsA13=0110000000000000
unit.1.3.MFBitsA14=1000000000000000
unit.1.3.MFBitsA15=1000000000
unit.1.3.MFBitsA2=00111110101011011110101011101010
unit.1.3.MFBitsA3=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.3.MFBitsA4=1010
unit.1.3.MFBitsA5=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.3.MFBitsA6=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.3.MFBitsA7=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.3.MFBitsA8=0001111000000000
unit.1.3.MFBitsA9=0000000100000000
unit.1.3.MFBitsB0=0000
unit.1.3.MFBitsB1=00000000000000000000000000000000
unit.1.3.MFBitsB10=00000000000000000000000000000000
unit.1.3.MFBitsB11=00000000000000000000000000000000
unit.1.3.MFBitsB12=00000000000000000000000000000000
unit.1.3.MFBitsB13=0000000000000000
unit.1.3.MFBitsB14=0000000000000000
unit.1.3.MFBitsB15=0000000000
unit.1.3.MFBitsB2=00000000000000000000000000000000
unit.1.3.MFBitsB3=00000000000000000000000000000000
unit.1.3.MFBitsB4=0000
unit.1.3.MFBitsB5=00000000000000000000000000000000
unit.1.3.MFBitsB6=00000000000000000000000000000000
unit.1.3.MFBitsB7=00000000000000000000000000000000
unit.1.3.MFBitsB8=0000000000000000
unit.1.3.MFBitsB9=0000000000000000
unit.1.3.MFCompareA0=0
unit.1.3.MFCompareA1=0
unit.1.3.MFCompareA10=0
unit.1.3.MFCompareA11=0
unit.1.3.MFCompareA12=0
unit.1.3.MFCompareA13=0
unit.1.3.MFCompareA14=0
unit.1.3.MFCompareA15=0
unit.1.3.MFCompareA2=0
unit.1.3.MFCompareA3=0
unit.1.3.MFCompareA4=0
unit.1.3.MFCompareA5=0
unit.1.3.MFCompareA6=0
unit.1.3.MFCompareA7=0
unit.1.3.MFCompareA8=0
unit.1.3.MFCompareA9=0
unit.1.3.MFCompareB0=999
unit.1.3.MFCompareB1=999
unit.1.3.MFCompareB10=999
unit.1.3.MFCompareB11=999
unit.1.3.MFCompareB12=999
unit.1.3.MFCompareB13=999
unit.1.3.MFCompareB14=999
unit.1.3.MFCompareB15=999
unit.1.3.MFCompareB2=999
unit.1.3.MFCompareB3=999
unit.1.3.MFCompareB4=999
unit.1.3.MFCompareB5=999
unit.1.3.MFCompareB6=999
unit.1.3.MFCompareB7=999
unit.1.3.MFCompareB8=999
unit.1.3.MFCompareB9=999
unit.1.3.MFCount=16
unit.1.3.MFDisplay0=1
unit.1.3.MFDisplay1=0
unit.1.3.MFDisplay10=0
unit.1.3.MFDisplay11=4
unit.1.3.MFDisplay12=0
unit.1.3.MFDisplay13=4
unit.1.3.MFDisplay14=4
unit.1.3.MFDisplay15=4
unit.1.3.MFDisplay2=1
unit.1.3.MFDisplay3=0
unit.1.3.MFDisplay4=1
unit.1.3.MFDisplay5=0
unit.1.3.MFDisplay6=0
unit.1.3.MFDisplay7=0
unit.1.3.MFDisplay8=4
unit.1.3.MFDisplay9=4
unit.1.3.MFEventType0=3
unit.1.3.MFEventType1=3
unit.1.3.MFEventType10=3
unit.1.3.MFEventType11=3
unit.1.3.MFEventType12=3
unit.1.3.MFEventType13=3
unit.1.3.MFEventType14=3
unit.1.3.MFEventType15=3
unit.1.3.MFEventType2=3
unit.1.3.MFEventType3=3
unit.1.3.MFEventType4=3
unit.1.3.MFEventType5=3
unit.1.3.MFEventType6=3
unit.1.3.MFEventType7=3
unit.1.3.MFEventType8=3
unit.1.3.MFEventType9=3
unit.1.3.RunMode=SINGLE RUN
unit.1.3.SQCondition=All Data
unit.1.3.SQContiguous0=0
unit.1.3.SequencerOn=0
unit.1.3.TCActive=0
unit.1.3.TCAdvanced0=0
unit.1.3.TCCondition0_0=M0 && M4
unit.1.3.TCCondition0_1=
unit.1.3.TCConditionType0=0
unit.1.3.TCCount=1
unit.1.3.TCEventCount0=1
unit.1.3.TCEventType0=3
unit.1.3.TCName0=TriggerCondition0
unit.1.3.TCOutputEnable0=0
unit.1.3.TCOutputHigh0=1
unit.1.3.TCOutputMode0=0
unit.1.3.browser_tree_state<Data\ Port>=0
unit.1.3.browser_tree_state<SCRAMBLER_COUNT_VALUE>=0
unit.1.3.browser_tree_state<TRIG10>=0
unit.1.3.browser_tree_state<Trigger\ Ports>=0
unit.1.3.coretype=ILA
unit.1.3.eventCount0=1
unit.1.3.eventCount1=1
unit.1.3.eventCount10=1
unit.1.3.eventCount11=1
unit.1.3.eventCount12=1
unit.1.3.eventCount13=1
unit.1.3.eventCount14=1
unit.1.3.eventCount15=1
unit.1.3.eventCount2=1
unit.1.3.eventCount3=1
unit.1.3.eventCount4=1
unit.1.3.eventCount5=1
unit.1.3.eventCount6=1
unit.1.3.eventCount7=1
unit.1.3.eventCount8=1
unit.1.3.eventCount9=1
unit.1.3.port.-1.b.0.alias=CRC_DOUT
unit.1.3.port.-1.b.0.channellist=296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327
unit.1.3.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.0.name=BUS_12
unit.1.3.port.-1.b.0.orderindex=382
unit.1.3.port.-1.b.0.radix=Hex
unit.1.3.port.-1.b.0.signedOffset=0.0
unit.1.3.port.-1.b.0.signedPrecision=0
unit.1.3.port.-1.b.0.signedScaleFactor=1.0
unit.1.3.port.-1.b.0.tokencount=0
unit.1.3.port.-1.b.0.unsignedOffset=0.0
unit.1.3.port.-1.b.0.unsignedPrecision=0
unit.1.3.port.-1.b.0.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.0.visible=1
unit.1.3.port.-1.b.1.alias=FIS_WORD_COUNT
unit.1.3.port.-1.b.1.channellist=200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215
unit.1.3.port.-1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.1.name=BUS_8
unit.1.3.port.-1.b.1.orderindex=378
unit.1.3.port.-1.b.1.radix=Unsigned
unit.1.3.port.-1.b.1.signedOffset=0.0
unit.1.3.port.-1.b.1.signedPrecision=0
unit.1.3.port.-1.b.1.signedScaleFactor=1.0
unit.1.3.port.-1.b.1.tokencount=0
unit.1.3.port.-1.b.1.unsignedOffset=0.0
unit.1.3.port.-1.b.1.unsignedPrecision=0
unit.1.3.port.-1.b.1.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.1.visible=1
unit.1.3.port.-1.b.10.alias=TX_FIFO_DIN
unit.1.3.port.-1.b.10.channellist=104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135
unit.1.3.port.-1.b.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.10.name=BUS_5
unit.1.3.port.-1.b.10.orderindex=375
unit.1.3.port.-1.b.10.radix=Hex
unit.1.3.port.-1.b.10.signedOffset=0.0
unit.1.3.port.-1.b.10.signedPrecision=0
unit.1.3.port.-1.b.10.signedScaleFactor=1.0
unit.1.3.port.-1.b.10.tokencount=0
unit.1.3.port.-1.b.10.unsignedOffset=0.0
unit.1.3.port.-1.b.10.unsignedPrecision=0
unit.1.3.port.-1.b.10.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.10.visible=1
unit.1.3.port.-1.b.11.alias=TX_FIFO_DOUT
unit.1.3.port.-1.b.11.channellist=68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99
unit.1.3.port.-1.b.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.11.name=BUS_3
unit.1.3.port.-1.b.11.orderindex=373
unit.1.3.port.-1.b.11.radix=Hex
unit.1.3.port.-1.b.11.signedOffset=0.0
unit.1.3.port.-1.b.11.signedPrecision=0
unit.1.3.port.-1.b.11.signedScaleFactor=1.0
unit.1.3.port.-1.b.11.tokencount=0
unit.1.3.port.-1.b.11.unsignedOffset=0.0
unit.1.3.port.-1.b.11.unsignedPrecision=0
unit.1.3.port.-1.b.11.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.11.visible=1
unit.1.3.port.-1.b.12.alias=TX_FRAME_VALUE
unit.1.3.port.-1.b.12.channellist=0 1 2 3
unit.1.3.port.-1.b.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.12.name=BUS_0
unit.1.3.port.-1.b.12.orderindex=370
unit.1.3.port.-1.b.12.radix=Hex
unit.1.3.port.-1.b.12.signedOffset=0.0
unit.1.3.port.-1.b.12.signedPrecision=0
unit.1.3.port.-1.b.12.signedScaleFactor=1.0
unit.1.3.port.-1.b.12.tokencount=0
unit.1.3.port.-1.b.12.unsignedOffset=0.0
unit.1.3.port.-1.b.12.unsignedPrecision=0
unit.1.3.port.-1.b.12.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.12.visible=1
unit.1.3.port.-1.b.13.alias=TX_SECTOR_COUNT
unit.1.3.port.-1.b.13.channellist=328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343
unit.1.3.port.-1.b.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.13.name=BUS_13
unit.1.3.port.-1.b.13.orderindex=383
unit.1.3.port.-1.b.13.radix=Unsigned
unit.1.3.port.-1.b.13.signedOffset=0.0
unit.1.3.port.-1.b.13.signedPrecision=0
unit.1.3.port.-1.b.13.signedScaleFactor=1.0
unit.1.3.port.-1.b.13.tokencount=0
unit.1.3.port.-1.b.13.unsignedOffset=0.0
unit.1.3.port.-1.b.13.unsignedPrecision=0
unit.1.3.port.-1.b.13.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.13.visible=1
unit.1.3.port.-1.b.14.alias=WRITE_FIFO_DIN
unit.1.3.port.-1.b.14.channellist=136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167
unit.1.3.port.-1.b.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.14.name=BUS_6
unit.1.3.port.-1.b.14.orderindex=376
unit.1.3.port.-1.b.14.radix=Unsigned
unit.1.3.port.-1.b.14.signedOffset=0.0
unit.1.3.port.-1.b.14.signedPrecision=0
unit.1.3.port.-1.b.14.signedScaleFactor=1.0
unit.1.3.port.-1.b.14.tokencount=0
unit.1.3.port.-1.b.14.unsignedOffset=0.0
unit.1.3.port.-1.b.14.unsignedPrecision=0
unit.1.3.port.-1.b.14.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.14.visible=1
unit.1.3.port.-1.b.15.alias=WRITE_FIFO_DOUT
unit.1.3.port.-1.b.15.channellist=168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199
unit.1.3.port.-1.b.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.15.name=BUS_7
unit.1.3.port.-1.b.15.orderindex=377
unit.1.3.port.-1.b.15.radix=Unsigned
unit.1.3.port.-1.b.15.signedOffset=0.0
unit.1.3.port.-1.b.15.signedPrecision=0
unit.1.3.port.-1.b.15.signedScaleFactor=1.0
unit.1.3.port.-1.b.15.tokencount=0
unit.1.3.port.-1.b.15.unsignedOffset=0.0
unit.1.3.port.-1.b.15.unsignedPrecision=0
unit.1.3.port.-1.b.15.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.15.visible=1
unit.1.3.port.-1.b.2.alias=MASTER_FSM_VALUE
unit.1.3.port.-1.b.2.channellist=100 101 102 103
unit.1.3.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.2.name=BUS_4
unit.1.3.port.-1.b.2.orderindex=374
unit.1.3.port.-1.b.2.radix=Hex
unit.1.3.port.-1.b.2.signedOffset=0.0
unit.1.3.port.-1.b.2.signedPrecision=0
unit.1.3.port.-1.b.2.signedScaleFactor=1.0
unit.1.3.port.-1.b.2.tokencount=0
unit.1.3.port.-1.b.2.unsignedOffset=0.0
unit.1.3.port.-1.b.2.unsignedPrecision=0
unit.1.3.port.-1.b.2.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.2.visible=1
unit.1.3.port.-1.b.3.alias=RX_DATAIN
unit.1.3.port.-1.b.3.channellist=36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67
unit.1.3.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.3.name=BUS_2
unit.1.3.port.-1.b.3.orderindex=372
unit.1.3.port.-1.b.3.radix=Hex
unit.1.3.port.-1.b.3.signedOffset=0.0
unit.1.3.port.-1.b.3.signedPrecision=0
unit.1.3.port.-1.b.3.signedScaleFactor=1.0
unit.1.3.port.-1.b.3.tokencount=0
unit.1.3.port.-1.b.3.unsignedOffset=0.0
unit.1.3.port.-1.b.3.unsignedPrecision=0
unit.1.3.port.-1.b.3.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.3.visible=1
unit.1.3.port.-1.b.4.alias=SCRAMBLER_COUNT
unit.1.3.port.-1.b.4.channellist=216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231
unit.1.3.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.4.name=BUS_9
unit.1.3.port.-1.b.4.orderindex=379
unit.1.3.port.-1.b.4.radix=Unsigned
unit.1.3.port.-1.b.4.signedOffset=0.0
unit.1.3.port.-1.b.4.signedPrecision=0
unit.1.3.port.-1.b.4.signedScaleFactor=1.0
unit.1.3.port.-1.b.4.tokencount=0
unit.1.3.port.-1.b.4.unsignedOffset=0.0
unit.1.3.port.-1.b.4.unsignedPrecision=0
unit.1.3.port.-1.b.4.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.4.visible=1
unit.1.3.port.-1.b.5.alias=SCRAMBLER_COUNT_VALUE
unit.1.3.port.-1.b.5.channellist=344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359
unit.1.3.port.-1.b.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.5.name=BUS_14
unit.1.3.port.-1.b.5.orderindex=384
unit.1.3.port.-1.b.5.radix=Unsigned
unit.1.3.port.-1.b.5.signedOffset=0.0
unit.1.3.port.-1.b.5.signedPrecision=0
unit.1.3.port.-1.b.5.signedScaleFactor=1.0
unit.1.3.port.-1.b.5.tokencount=0
unit.1.3.port.-1.b.5.unsignedOffset=0.0
unit.1.3.port.-1.b.5.unsignedPrecision=0
unit.1.3.port.-1.b.5.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.5.visible=1
unit.1.3.port.-1.b.6.alias=SCRAMBLER_DIN
unit.1.3.port.-1.b.6.channellist=264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295
unit.1.3.port.-1.b.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.6.name=BUS_11
unit.1.3.port.-1.b.6.orderindex=381
unit.1.3.port.-1.b.6.radix=Hex
unit.1.3.port.-1.b.6.signedOffset=0.0
unit.1.3.port.-1.b.6.signedPrecision=0
unit.1.3.port.-1.b.6.signedScaleFactor=1.0
unit.1.3.port.-1.b.6.tokencount=0
unit.1.3.port.-1.b.6.unsignedOffset=0.0
unit.1.3.port.-1.b.6.unsignedPrecision=0
unit.1.3.port.-1.b.6.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.6.visible=1
unit.1.3.port.-1.b.7.alias=TRIG10
unit.1.3.port.-1.b.7.channellist=232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263
unit.1.3.port.-1.b.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.7.name=BUS_10
unit.1.3.port.-1.b.7.orderindex=380
unit.1.3.port.-1.b.7.radix=Hex
unit.1.3.port.-1.b.7.signedOffset=0.0
unit.1.3.port.-1.b.7.signedPrecision=0
unit.1.3.port.-1.b.7.signedScaleFactor=1.0
unit.1.3.port.-1.b.7.tokencount=0
unit.1.3.port.-1.b.7.unsignedOffset=0.0
unit.1.3.port.-1.b.7.unsignedPrecision=0
unit.1.3.port.-1.b.7.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.7.visible=1
unit.1.3.port.-1.b.8.alias=TX_DATAOUT
unit.1.3.port.-1.b.8.channellist=4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35
unit.1.3.port.-1.b.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.8.name=BUS_1
unit.1.3.port.-1.b.8.orderindex=371
unit.1.3.port.-1.b.8.radix=Hex
unit.1.3.port.-1.b.8.signedOffset=0.0
unit.1.3.port.-1.b.8.signedPrecision=0
unit.1.3.port.-1.b.8.signedScaleFactor=1.0
unit.1.3.port.-1.b.8.tokencount=0
unit.1.3.port.-1.b.8.unsignedOffset=0.0
unit.1.3.port.-1.b.8.unsignedPrecision=0
unit.1.3.port.-1.b.8.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.8.visible=1
unit.1.3.port.-1.b.9.alias=TX_FIFO_DATA_COUNT
unit.1.3.port.-1.b.9.channellist=360 361 362 363 364 365 366 367 368 369
unit.1.3.port.-1.b.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.b.9.name=BUS_15
unit.1.3.port.-1.b.9.orderindex=385
unit.1.3.port.-1.b.9.radix=Unsigned
unit.1.3.port.-1.b.9.signedOffset=0.0
unit.1.3.port.-1.b.9.signedPrecision=0
unit.1.3.port.-1.b.9.signedScaleFactor=1.0
unit.1.3.port.-1.b.9.tokencount=0
unit.1.3.port.-1.b.9.unsignedOffset=0.0
unit.1.3.port.-1.b.9.unsignedPrecision=0
unit.1.3.port.-1.b.9.unsignedScaleFactor=1.0
unit.1.3.port.-1.b.9.visible=1
unit.1.3.port.-1.buscount=16
unit.1.3.port.-1.channelcount=370
unit.1.3.port.-1.s.0.alias=
unit.1.3.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.0.name=TX_FRAME_VALUE[0]
unit.1.3.port.-1.s.0.orderindex=-1
unit.1.3.port.-1.s.0.visible=1
unit.1.3.port.-1.s.1.alias=
unit.1.3.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.1.name=TX_FRAME_VALUE[1]
unit.1.3.port.-1.s.1.orderindex=-1
unit.1.3.port.-1.s.1.visible=1
unit.1.3.port.-1.s.10.alias=
unit.1.3.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.10.name=TX_DATAOUT[6]
unit.1.3.port.-1.s.10.orderindex=-1
unit.1.3.port.-1.s.10.visible=1
unit.1.3.port.-1.s.100.alias=
unit.1.3.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.100.name=MASTER_FSM_VALUE[0]
unit.1.3.port.-1.s.100.orderindex=-1
unit.1.3.port.-1.s.100.visible=1
unit.1.3.port.-1.s.101.alias=
unit.1.3.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.101.name=MASTER_FSM_VALUE[1]
unit.1.3.port.-1.s.101.orderindex=-1
unit.1.3.port.-1.s.101.visible=1
unit.1.3.port.-1.s.102.alias=
unit.1.3.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.102.name=MASTER_FSM_VALUE[2]
unit.1.3.port.-1.s.102.orderindex=-1
unit.1.3.port.-1.s.102.visible=1
unit.1.3.port.-1.s.103.alias=
unit.1.3.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.103.name=MASTER_FSM_VALUE[3]
unit.1.3.port.-1.s.103.orderindex=-1
unit.1.3.port.-1.s.103.visible=1
unit.1.3.port.-1.s.104.alias=
unit.1.3.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.104.name=TX_FIFO_DIN[0]
unit.1.3.port.-1.s.104.orderindex=-1
unit.1.3.port.-1.s.104.visible=1
unit.1.3.port.-1.s.105.alias=
unit.1.3.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.105.name=TX_FIFO_DIN[1]
unit.1.3.port.-1.s.105.orderindex=-1
unit.1.3.port.-1.s.105.visible=1
unit.1.3.port.-1.s.106.alias=
unit.1.3.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.106.name=TX_FIFO_DIN[2]
unit.1.3.port.-1.s.106.orderindex=-1
unit.1.3.port.-1.s.106.visible=1
unit.1.3.port.-1.s.107.alias=
unit.1.3.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.107.name=TX_FIFO_DIN[3]
unit.1.3.port.-1.s.107.orderindex=-1
unit.1.3.port.-1.s.107.visible=1
unit.1.3.port.-1.s.108.alias=
unit.1.3.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.108.name=TX_FIFO_DIN[4]
unit.1.3.port.-1.s.108.orderindex=-1
unit.1.3.port.-1.s.108.visible=1
unit.1.3.port.-1.s.109.alias=
unit.1.3.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.109.name=TX_FIFO_DIN[5]
unit.1.3.port.-1.s.109.orderindex=-1
unit.1.3.port.-1.s.109.visible=1
unit.1.3.port.-1.s.11.alias=
unit.1.3.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.11.name=TX_DATAOUT[7]
unit.1.3.port.-1.s.11.orderindex=-1
unit.1.3.port.-1.s.11.visible=1
unit.1.3.port.-1.s.110.alias=
unit.1.3.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.110.name=TX_FIFO_DIN[6]
unit.1.3.port.-1.s.110.orderindex=-1
unit.1.3.port.-1.s.110.visible=1
unit.1.3.port.-1.s.111.alias=
unit.1.3.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.111.name=TX_FIFO_DIN[7]
unit.1.3.port.-1.s.111.orderindex=-1
unit.1.3.port.-1.s.111.visible=1
unit.1.3.port.-1.s.112.alias=
unit.1.3.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.112.name=TX_FIFO_DIN[8]
unit.1.3.port.-1.s.112.orderindex=-1
unit.1.3.port.-1.s.112.visible=1
unit.1.3.port.-1.s.113.alias=
unit.1.3.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.113.name=TX_FIFO_DIN[9]
unit.1.3.port.-1.s.113.orderindex=-1
unit.1.3.port.-1.s.113.visible=1
unit.1.3.port.-1.s.114.alias=
unit.1.3.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.114.name=TX_FIFO_DIN[10]
unit.1.3.port.-1.s.114.orderindex=-1
unit.1.3.port.-1.s.114.visible=1
unit.1.3.port.-1.s.115.alias=
unit.1.3.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.115.name=TX_FIFO_DIN[11]
unit.1.3.port.-1.s.115.orderindex=-1
unit.1.3.port.-1.s.115.visible=1
unit.1.3.port.-1.s.116.alias=
unit.1.3.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.116.name=TX_FIFO_DIN[12]
unit.1.3.port.-1.s.116.orderindex=-1
unit.1.3.port.-1.s.116.visible=1
unit.1.3.port.-1.s.117.alias=
unit.1.3.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.117.name=TX_FIFO_DIN[13]
unit.1.3.port.-1.s.117.orderindex=-1
unit.1.3.port.-1.s.117.visible=1
unit.1.3.port.-1.s.118.alias=
unit.1.3.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.118.name=TX_FIFO_DIN[14]
unit.1.3.port.-1.s.118.orderindex=-1
unit.1.3.port.-1.s.118.visible=1
unit.1.3.port.-1.s.119.alias=
unit.1.3.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.119.name=TX_FIFO_DIN[15]
unit.1.3.port.-1.s.119.orderindex=-1
unit.1.3.port.-1.s.119.visible=1
unit.1.3.port.-1.s.12.alias=
unit.1.3.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.12.name=TX_DATAOUT[8]
unit.1.3.port.-1.s.12.orderindex=-1
unit.1.3.port.-1.s.12.visible=1
unit.1.3.port.-1.s.120.alias=
unit.1.3.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.120.name=TX_FIFO_DIN[16]
unit.1.3.port.-1.s.120.orderindex=-1
unit.1.3.port.-1.s.120.visible=1
unit.1.3.port.-1.s.121.alias=
unit.1.3.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.121.name=TX_FIFO_DIN[17]
unit.1.3.port.-1.s.121.orderindex=-1
unit.1.3.port.-1.s.121.visible=1
unit.1.3.port.-1.s.122.alias=
unit.1.3.port.-1.s.122.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.122.name=TX_FIFO_DIN[18]
unit.1.3.port.-1.s.122.orderindex=-1
unit.1.3.port.-1.s.122.visible=1
unit.1.3.port.-1.s.123.alias=
unit.1.3.port.-1.s.123.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.123.name=TX_FIFO_DIN[19]
unit.1.3.port.-1.s.123.orderindex=-1
unit.1.3.port.-1.s.123.visible=1
unit.1.3.port.-1.s.124.alias=
unit.1.3.port.-1.s.124.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.124.name=TX_FIFO_DIN[20]
unit.1.3.port.-1.s.124.orderindex=-1
unit.1.3.port.-1.s.124.visible=1
unit.1.3.port.-1.s.125.alias=
unit.1.3.port.-1.s.125.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.125.name=TX_FIFO_DIN[21]
unit.1.3.port.-1.s.125.orderindex=-1
unit.1.3.port.-1.s.125.visible=1
unit.1.3.port.-1.s.126.alias=
unit.1.3.port.-1.s.126.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.126.name=TX_FIFO_DIN[22]
unit.1.3.port.-1.s.126.orderindex=-1
unit.1.3.port.-1.s.126.visible=1
unit.1.3.port.-1.s.127.alias=
unit.1.3.port.-1.s.127.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.127.name=TX_FIFO_DIN[23]
unit.1.3.port.-1.s.127.orderindex=-1
unit.1.3.port.-1.s.127.visible=1
unit.1.3.port.-1.s.128.alias=
unit.1.3.port.-1.s.128.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.128.name=TX_FIFO_DIN[24]
unit.1.3.port.-1.s.128.orderindex=-1
unit.1.3.port.-1.s.128.visible=1
unit.1.3.port.-1.s.129.alias=
unit.1.3.port.-1.s.129.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.129.name=TX_FIFO_DIN[25]
unit.1.3.port.-1.s.129.orderindex=-1
unit.1.3.port.-1.s.129.visible=1
unit.1.3.port.-1.s.13.alias=
unit.1.3.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.13.name=TX_DATAOUT[9]
unit.1.3.port.-1.s.13.orderindex=-1
unit.1.3.port.-1.s.13.visible=1
unit.1.3.port.-1.s.130.alias=
unit.1.3.port.-1.s.130.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.130.name=TX_FIFO_DIN[26]
unit.1.3.port.-1.s.130.orderindex=-1
unit.1.3.port.-1.s.130.visible=1
unit.1.3.port.-1.s.131.alias=
unit.1.3.port.-1.s.131.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.131.name=TX_FIFO_DIN[27]
unit.1.3.port.-1.s.131.orderindex=-1
unit.1.3.port.-1.s.131.visible=1
unit.1.3.port.-1.s.132.alias=
unit.1.3.port.-1.s.132.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.132.name=TX_FIFO_DIN[28]
unit.1.3.port.-1.s.132.orderindex=-1
unit.1.3.port.-1.s.132.visible=1
unit.1.3.port.-1.s.133.alias=
unit.1.3.port.-1.s.133.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.133.name=TX_FIFO_DIN[29]
unit.1.3.port.-1.s.133.orderindex=-1
unit.1.3.port.-1.s.133.visible=1
unit.1.3.port.-1.s.134.alias=
unit.1.3.port.-1.s.134.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.134.name=TX_FIFO_DIN[30]
unit.1.3.port.-1.s.134.orderindex=-1
unit.1.3.port.-1.s.134.visible=1
unit.1.3.port.-1.s.135.alias=
unit.1.3.port.-1.s.135.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.135.name=TX_FIFO_DIN[31]
unit.1.3.port.-1.s.135.orderindex=-1
unit.1.3.port.-1.s.135.visible=1
unit.1.3.port.-1.s.136.alias=
unit.1.3.port.-1.s.136.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.136.name=WRITE_FIFO_DIN[0]
unit.1.3.port.-1.s.136.orderindex=-1
unit.1.3.port.-1.s.136.visible=1
unit.1.3.port.-1.s.137.alias=
unit.1.3.port.-1.s.137.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.137.name=WRITE_FIFO_DIN[1]
unit.1.3.port.-1.s.137.orderindex=-1
unit.1.3.port.-1.s.137.visible=1
unit.1.3.port.-1.s.138.alias=
unit.1.3.port.-1.s.138.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.138.name=WRITE_FIFO_DIN[2]
unit.1.3.port.-1.s.138.orderindex=-1
unit.1.3.port.-1.s.138.visible=1
unit.1.3.port.-1.s.139.alias=
unit.1.3.port.-1.s.139.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.139.name=WRITE_FIFO_DIN[3]
unit.1.3.port.-1.s.139.orderindex=-1
unit.1.3.port.-1.s.139.visible=1
unit.1.3.port.-1.s.14.alias=
unit.1.3.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.14.name=TX_DATAOUT[10]
unit.1.3.port.-1.s.14.orderindex=-1
unit.1.3.port.-1.s.14.visible=1
unit.1.3.port.-1.s.140.alias=
unit.1.3.port.-1.s.140.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.140.name=WRITE_FIFO_DIN[4]
unit.1.3.port.-1.s.140.orderindex=-1
unit.1.3.port.-1.s.140.visible=1
unit.1.3.port.-1.s.141.alias=
unit.1.3.port.-1.s.141.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.141.name=WRITE_FIFO_DIN[5]
unit.1.3.port.-1.s.141.orderindex=-1
unit.1.3.port.-1.s.141.visible=1
unit.1.3.port.-1.s.142.alias=
unit.1.3.port.-1.s.142.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.142.name=WRITE_FIFO_DIN[6]
unit.1.3.port.-1.s.142.orderindex=-1
unit.1.3.port.-1.s.142.visible=1
unit.1.3.port.-1.s.143.alias=
unit.1.3.port.-1.s.143.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.143.name=WRITE_FIFO_DIN[7]
unit.1.3.port.-1.s.143.orderindex=-1
unit.1.3.port.-1.s.143.visible=1
unit.1.3.port.-1.s.144.alias=
unit.1.3.port.-1.s.144.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.144.name=WRITE_FIFO_DIN[8]
unit.1.3.port.-1.s.144.orderindex=-1
unit.1.3.port.-1.s.144.visible=1
unit.1.3.port.-1.s.145.alias=
unit.1.3.port.-1.s.145.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.145.name=WRITE_FIFO_DIN[9]
unit.1.3.port.-1.s.145.orderindex=-1
unit.1.3.port.-1.s.145.visible=1
unit.1.3.port.-1.s.146.alias=
unit.1.3.port.-1.s.146.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.146.name=WRITE_FIFO_DIN[10]
unit.1.3.port.-1.s.146.orderindex=-1
unit.1.3.port.-1.s.146.visible=1
unit.1.3.port.-1.s.147.alias=
unit.1.3.port.-1.s.147.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.147.name=WRITE_FIFO_DIN[11]
unit.1.3.port.-1.s.147.orderindex=-1
unit.1.3.port.-1.s.147.visible=1
unit.1.3.port.-1.s.148.alias=
unit.1.3.port.-1.s.148.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.148.name=WRITE_FIFO_DIN[12]
unit.1.3.port.-1.s.148.orderindex=-1
unit.1.3.port.-1.s.148.visible=1
unit.1.3.port.-1.s.149.alias=
unit.1.3.port.-1.s.149.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.149.name=WRITE_FIFO_DIN[13]
unit.1.3.port.-1.s.149.orderindex=-1
unit.1.3.port.-1.s.149.visible=1
unit.1.3.port.-1.s.15.alias=
unit.1.3.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.15.name=TX_DATAOUT[11]
unit.1.3.port.-1.s.15.orderindex=-1
unit.1.3.port.-1.s.15.visible=1
unit.1.3.port.-1.s.150.alias=
unit.1.3.port.-1.s.150.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.150.name=WRITE_FIFO_DIN[14]
unit.1.3.port.-1.s.150.orderindex=-1
unit.1.3.port.-1.s.150.visible=1
unit.1.3.port.-1.s.151.alias=
unit.1.3.port.-1.s.151.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.151.name=WRITE_FIFO_DIN[15]
unit.1.3.port.-1.s.151.orderindex=-1
unit.1.3.port.-1.s.151.visible=1
unit.1.3.port.-1.s.152.alias=
unit.1.3.port.-1.s.152.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.152.name=WRITE_FIFO_DIN[16]
unit.1.3.port.-1.s.152.orderindex=-1
unit.1.3.port.-1.s.152.visible=1
unit.1.3.port.-1.s.153.alias=
unit.1.3.port.-1.s.153.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.153.name=WRITE_FIFO_DIN[17]
unit.1.3.port.-1.s.153.orderindex=-1
unit.1.3.port.-1.s.153.visible=1
unit.1.3.port.-1.s.154.alias=
unit.1.3.port.-1.s.154.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.154.name=WRITE_FIFO_DIN[18]
unit.1.3.port.-1.s.154.orderindex=-1
unit.1.3.port.-1.s.154.visible=1
unit.1.3.port.-1.s.155.alias=
unit.1.3.port.-1.s.155.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.155.name=WRITE_FIFO_DIN[19]
unit.1.3.port.-1.s.155.orderindex=-1
unit.1.3.port.-1.s.155.visible=1
unit.1.3.port.-1.s.156.alias=
unit.1.3.port.-1.s.156.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.156.name=WRITE_FIFO_DIN[20]
unit.1.3.port.-1.s.156.orderindex=-1
unit.1.3.port.-1.s.156.visible=1
unit.1.3.port.-1.s.157.alias=
unit.1.3.port.-1.s.157.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.157.name=WRITE_FIFO_DIN[21]
unit.1.3.port.-1.s.157.orderindex=-1
unit.1.3.port.-1.s.157.visible=1
unit.1.3.port.-1.s.158.alias=
unit.1.3.port.-1.s.158.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.158.name=WRITE_FIFO_DIN[22]
unit.1.3.port.-1.s.158.orderindex=-1
unit.1.3.port.-1.s.158.visible=1
unit.1.3.port.-1.s.159.alias=
unit.1.3.port.-1.s.159.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.159.name=WRITE_FIFO_DIN[23]
unit.1.3.port.-1.s.159.orderindex=-1
unit.1.3.port.-1.s.159.visible=1
unit.1.3.port.-1.s.16.alias=
unit.1.3.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.16.name=TX_DATAOUT[12]
unit.1.3.port.-1.s.16.orderindex=-1
unit.1.3.port.-1.s.16.visible=1
unit.1.3.port.-1.s.160.alias=
unit.1.3.port.-1.s.160.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.160.name=WRITE_FIFO_DIN[24]
unit.1.3.port.-1.s.160.orderindex=-1
unit.1.3.port.-1.s.160.visible=1
unit.1.3.port.-1.s.161.alias=
unit.1.3.port.-1.s.161.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.161.name=WRITE_FIFO_DIN[25]
unit.1.3.port.-1.s.161.orderindex=-1
unit.1.3.port.-1.s.161.visible=1
unit.1.3.port.-1.s.162.alias=
unit.1.3.port.-1.s.162.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.162.name=WRITE_FIFO_DIN[26]
unit.1.3.port.-1.s.162.orderindex=-1
unit.1.3.port.-1.s.162.visible=1
unit.1.3.port.-1.s.163.alias=
unit.1.3.port.-1.s.163.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.163.name=WRITE_FIFO_DIN[27]
unit.1.3.port.-1.s.163.orderindex=-1
unit.1.3.port.-1.s.163.visible=1
unit.1.3.port.-1.s.164.alias=
unit.1.3.port.-1.s.164.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.164.name=WRITE_FIFO_DIN[28]
unit.1.3.port.-1.s.164.orderindex=-1
unit.1.3.port.-1.s.164.visible=1
unit.1.3.port.-1.s.165.alias=
unit.1.3.port.-1.s.165.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.165.name=WRITE_FIFO_DIN[29]
unit.1.3.port.-1.s.165.orderindex=-1
unit.1.3.port.-1.s.165.visible=1
unit.1.3.port.-1.s.166.alias=
unit.1.3.port.-1.s.166.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.166.name=WRITE_FIFO_DIN[30]
unit.1.3.port.-1.s.166.orderindex=-1
unit.1.3.port.-1.s.166.visible=1
unit.1.3.port.-1.s.167.alias=
unit.1.3.port.-1.s.167.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.167.name=WRITE_FIFO_DIN[31]
unit.1.3.port.-1.s.167.orderindex=-1
unit.1.3.port.-1.s.167.visible=1
unit.1.3.port.-1.s.168.alias=
unit.1.3.port.-1.s.168.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.168.name=WRITE_FIFO_DOUT[0]
unit.1.3.port.-1.s.168.orderindex=-1
unit.1.3.port.-1.s.168.visible=1
unit.1.3.port.-1.s.169.alias=
unit.1.3.port.-1.s.169.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.169.name=WRITE_FIFO_DOUT[1]
unit.1.3.port.-1.s.169.orderindex=-1
unit.1.3.port.-1.s.169.visible=1
unit.1.3.port.-1.s.17.alias=
unit.1.3.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.17.name=TX_DATAOUT[13]
unit.1.3.port.-1.s.17.orderindex=-1
unit.1.3.port.-1.s.17.visible=1
unit.1.3.port.-1.s.170.alias=
unit.1.3.port.-1.s.170.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.170.name=WRITE_FIFO_DOUT[2]
unit.1.3.port.-1.s.170.orderindex=-1
unit.1.3.port.-1.s.170.visible=1
unit.1.3.port.-1.s.171.alias=
unit.1.3.port.-1.s.171.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.171.name=WRITE_FIFO_DOUT[3]
unit.1.3.port.-1.s.171.orderindex=-1
unit.1.3.port.-1.s.171.visible=1
unit.1.3.port.-1.s.172.alias=
unit.1.3.port.-1.s.172.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.172.name=WRITE_FIFO_DOUT[4]
unit.1.3.port.-1.s.172.orderindex=-1
unit.1.3.port.-1.s.172.visible=1
unit.1.3.port.-1.s.173.alias=
unit.1.3.port.-1.s.173.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.173.name=WRITE_FIFO_DOUT[5]
unit.1.3.port.-1.s.173.orderindex=-1
unit.1.3.port.-1.s.173.visible=1
unit.1.3.port.-1.s.174.alias=
unit.1.3.port.-1.s.174.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.174.name=WRITE_FIFO_DOUT[6]
unit.1.3.port.-1.s.174.orderindex=-1
unit.1.3.port.-1.s.174.visible=1
unit.1.3.port.-1.s.175.alias=
unit.1.3.port.-1.s.175.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.175.name=WRITE_FIFO_DOUT[7]
unit.1.3.port.-1.s.175.orderindex=-1
unit.1.3.port.-1.s.175.visible=1
unit.1.3.port.-1.s.176.alias=
unit.1.3.port.-1.s.176.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.176.name=WRITE_FIFO_DOUT[8]
unit.1.3.port.-1.s.176.orderindex=-1
unit.1.3.port.-1.s.176.visible=1
unit.1.3.port.-1.s.177.alias=
unit.1.3.port.-1.s.177.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.177.name=WRITE_FIFO_DOUT[9]
unit.1.3.port.-1.s.177.orderindex=-1
unit.1.3.port.-1.s.177.visible=1
unit.1.3.port.-1.s.178.alias=
unit.1.3.port.-1.s.178.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.178.name=WRITE_FIFO_DOUT[10]
unit.1.3.port.-1.s.178.orderindex=-1
unit.1.3.port.-1.s.178.visible=1
unit.1.3.port.-1.s.179.alias=
unit.1.3.port.-1.s.179.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.179.name=WRITE_FIFO_DOUT[11]
unit.1.3.port.-1.s.179.orderindex=-1
unit.1.3.port.-1.s.179.visible=1
unit.1.3.port.-1.s.18.alias=
unit.1.3.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.18.name=TX_DATAOUT[14]
unit.1.3.port.-1.s.18.orderindex=-1
unit.1.3.port.-1.s.18.visible=1
unit.1.3.port.-1.s.180.alias=
unit.1.3.port.-1.s.180.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.180.name=WRITE_FIFO_DOUT[12]
unit.1.3.port.-1.s.180.orderindex=-1
unit.1.3.port.-1.s.180.visible=1
unit.1.3.port.-1.s.181.alias=
unit.1.3.port.-1.s.181.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.181.name=WRITE_FIFO_DOUT[13]
unit.1.3.port.-1.s.181.orderindex=-1
unit.1.3.port.-1.s.181.visible=1
unit.1.3.port.-1.s.182.alias=
unit.1.3.port.-1.s.182.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.182.name=WRITE_FIFO_DOUT[14]
unit.1.3.port.-1.s.182.orderindex=-1
unit.1.3.port.-1.s.182.visible=1
unit.1.3.port.-1.s.183.alias=
unit.1.3.port.-1.s.183.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.183.name=WRITE_FIFO_DOUT[15]
unit.1.3.port.-1.s.183.orderindex=-1
unit.1.3.port.-1.s.183.visible=1
unit.1.3.port.-1.s.184.alias=
unit.1.3.port.-1.s.184.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.184.name=WRITE_FIFO_DOUT[16]
unit.1.3.port.-1.s.184.orderindex=-1
unit.1.3.port.-1.s.184.visible=1
unit.1.3.port.-1.s.185.alias=
unit.1.3.port.-1.s.185.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.185.name=WRITE_FIFO_DOUT[17]
unit.1.3.port.-1.s.185.orderindex=-1
unit.1.3.port.-1.s.185.visible=1
unit.1.3.port.-1.s.186.alias=
unit.1.3.port.-1.s.186.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.186.name=WRITE_FIFO_DOUT[18]
unit.1.3.port.-1.s.186.orderindex=-1
unit.1.3.port.-1.s.186.visible=1
unit.1.3.port.-1.s.187.alias=
unit.1.3.port.-1.s.187.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.187.name=WRITE_FIFO_DOUT[19]
unit.1.3.port.-1.s.187.orderindex=-1
unit.1.3.port.-1.s.187.visible=1
unit.1.3.port.-1.s.188.alias=
unit.1.3.port.-1.s.188.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.188.name=WRITE_FIFO_DOUT[20]
unit.1.3.port.-1.s.188.orderindex=-1
unit.1.3.port.-1.s.188.visible=1
unit.1.3.port.-1.s.189.alias=
unit.1.3.port.-1.s.189.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.189.name=WRITE_FIFO_DOUT[21]
unit.1.3.port.-1.s.189.orderindex=-1
unit.1.3.port.-1.s.189.visible=1
unit.1.3.port.-1.s.19.alias=
unit.1.3.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.19.name=TX_DATAOUT[15]
unit.1.3.port.-1.s.19.orderindex=-1
unit.1.3.port.-1.s.19.visible=1
unit.1.3.port.-1.s.190.alias=
unit.1.3.port.-1.s.190.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.190.name=WRITE_FIFO_DOUT[22]
unit.1.3.port.-1.s.190.orderindex=-1
unit.1.3.port.-1.s.190.visible=1
unit.1.3.port.-1.s.191.alias=
unit.1.3.port.-1.s.191.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.191.name=WRITE_FIFO_DOUT[23]
unit.1.3.port.-1.s.191.orderindex=-1
unit.1.3.port.-1.s.191.visible=1
unit.1.3.port.-1.s.192.alias=
unit.1.3.port.-1.s.192.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.192.name=WRITE_FIFO_DOUT[24]
unit.1.3.port.-1.s.192.orderindex=-1
unit.1.3.port.-1.s.192.visible=1
unit.1.3.port.-1.s.193.alias=
unit.1.3.port.-1.s.193.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.193.name=WRITE_FIFO_DOUT[25]
unit.1.3.port.-1.s.193.orderindex=-1
unit.1.3.port.-1.s.193.visible=1
unit.1.3.port.-1.s.194.alias=
unit.1.3.port.-1.s.194.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.194.name=WRITE_FIFO_DOUT[26]
unit.1.3.port.-1.s.194.orderindex=-1
unit.1.3.port.-1.s.194.visible=1
unit.1.3.port.-1.s.195.alias=
unit.1.3.port.-1.s.195.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.195.name=WRITE_FIFO_DOUT[27]
unit.1.3.port.-1.s.195.orderindex=-1
unit.1.3.port.-1.s.195.visible=1
unit.1.3.port.-1.s.196.alias=
unit.1.3.port.-1.s.196.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.196.name=WRITE_FIFO_DOUT[28]
unit.1.3.port.-1.s.196.orderindex=-1
unit.1.3.port.-1.s.196.visible=1
unit.1.3.port.-1.s.197.alias=
unit.1.3.port.-1.s.197.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.197.name=WRITE_FIFO_DOUT[29]
unit.1.3.port.-1.s.197.orderindex=-1
unit.1.3.port.-1.s.197.visible=1
unit.1.3.port.-1.s.198.alias=
unit.1.3.port.-1.s.198.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.198.name=WRITE_FIFO_DOUT[30]
unit.1.3.port.-1.s.198.orderindex=-1
unit.1.3.port.-1.s.198.visible=1
unit.1.3.port.-1.s.199.alias=
unit.1.3.port.-1.s.199.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.199.name=WRITE_FIFO_DOUT[31]
unit.1.3.port.-1.s.199.orderindex=-1
unit.1.3.port.-1.s.199.visible=1
unit.1.3.port.-1.s.2.alias=
unit.1.3.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.2.name=TX_FRAME_VALUE[2]
unit.1.3.port.-1.s.2.orderindex=-1
unit.1.3.port.-1.s.2.visible=1
unit.1.3.port.-1.s.20.alias=
unit.1.3.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.20.name=TX_DATAOUT[16]
unit.1.3.port.-1.s.20.orderindex=-1
unit.1.3.port.-1.s.20.visible=1
unit.1.3.port.-1.s.200.alias=
unit.1.3.port.-1.s.200.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.200.name=FIS_WORD_COUNT[0]
unit.1.3.port.-1.s.200.orderindex=-1
unit.1.3.port.-1.s.200.visible=1
unit.1.3.port.-1.s.201.alias=
unit.1.3.port.-1.s.201.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.201.name=FIS_WORD_COUNT[1]
unit.1.3.port.-1.s.201.orderindex=-1
unit.1.3.port.-1.s.201.visible=1
unit.1.3.port.-1.s.202.alias=
unit.1.3.port.-1.s.202.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.202.name=FIS_WORD_COUNT[2]
unit.1.3.port.-1.s.202.orderindex=-1
unit.1.3.port.-1.s.202.visible=1
unit.1.3.port.-1.s.203.alias=
unit.1.3.port.-1.s.203.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.203.name=FIS_WORD_COUNT[3]
unit.1.3.port.-1.s.203.orderindex=-1
unit.1.3.port.-1.s.203.visible=1
unit.1.3.port.-1.s.204.alias=
unit.1.3.port.-1.s.204.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.204.name=FIS_WORD_COUNT[4]
unit.1.3.port.-1.s.204.orderindex=-1
unit.1.3.port.-1.s.204.visible=1
unit.1.3.port.-1.s.205.alias=
unit.1.3.port.-1.s.205.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.205.name=FIS_WORD_COUNT[5]
unit.1.3.port.-1.s.205.orderindex=-1
unit.1.3.port.-1.s.205.visible=1
unit.1.3.port.-1.s.206.alias=
unit.1.3.port.-1.s.206.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.206.name=FIS_WORD_COUNT[6]
unit.1.3.port.-1.s.206.orderindex=-1
unit.1.3.port.-1.s.206.visible=1
unit.1.3.port.-1.s.207.alias=
unit.1.3.port.-1.s.207.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.207.name=FIS_WORD_COUNT[7]
unit.1.3.port.-1.s.207.orderindex=-1
unit.1.3.port.-1.s.207.visible=1
unit.1.3.port.-1.s.208.alias=
unit.1.3.port.-1.s.208.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.208.name=FIS_WORD_COUNT[8]
unit.1.3.port.-1.s.208.orderindex=-1
unit.1.3.port.-1.s.208.visible=1
unit.1.3.port.-1.s.209.alias=
unit.1.3.port.-1.s.209.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.209.name=FIS_WORD_COUNT[9]
unit.1.3.port.-1.s.209.orderindex=-1
unit.1.3.port.-1.s.209.visible=1
unit.1.3.port.-1.s.21.alias=
unit.1.3.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.21.name=TX_DATAOUT[17]
unit.1.3.port.-1.s.21.orderindex=-1
unit.1.3.port.-1.s.21.visible=1
unit.1.3.port.-1.s.210.alias=
unit.1.3.port.-1.s.210.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.210.name=FIS_WORD_COUNT[10]
unit.1.3.port.-1.s.210.orderindex=-1
unit.1.3.port.-1.s.210.visible=1
unit.1.3.port.-1.s.211.alias=
unit.1.3.port.-1.s.211.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.211.name=FIS_WORD_COUNT[11]
unit.1.3.port.-1.s.211.orderindex=-1
unit.1.3.port.-1.s.211.visible=1
unit.1.3.port.-1.s.212.alias=
unit.1.3.port.-1.s.212.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.212.name=FIS_WORD_COUNT[12]
unit.1.3.port.-1.s.212.orderindex=-1
unit.1.3.port.-1.s.212.visible=1
unit.1.3.port.-1.s.213.alias=
unit.1.3.port.-1.s.213.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.213.name=FIS_WORD_COUNT[13]
unit.1.3.port.-1.s.213.orderindex=-1
unit.1.3.port.-1.s.213.visible=1
unit.1.3.port.-1.s.214.alias=
unit.1.3.port.-1.s.214.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.214.name=FIS_WORD_COUNT[14]
unit.1.3.port.-1.s.214.orderindex=-1
unit.1.3.port.-1.s.214.visible=1
unit.1.3.port.-1.s.215.alias=
unit.1.3.port.-1.s.215.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.215.name=FIS_WORD_COUNT[15]
unit.1.3.port.-1.s.215.orderindex=-1
unit.1.3.port.-1.s.215.visible=1
unit.1.3.port.-1.s.216.alias=
unit.1.3.port.-1.s.216.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.216.name=SCRAMBLER_COUNT[0]
unit.1.3.port.-1.s.216.orderindex=-1
unit.1.3.port.-1.s.216.visible=1
unit.1.3.port.-1.s.217.alias=
unit.1.3.port.-1.s.217.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.217.name=SCRAMBLER_COUNT[1]
unit.1.3.port.-1.s.217.orderindex=-1
unit.1.3.port.-1.s.217.visible=1
unit.1.3.port.-1.s.218.alias=
unit.1.3.port.-1.s.218.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.218.name=SCRAMBLER_COUNT[2]
unit.1.3.port.-1.s.218.orderindex=-1
unit.1.3.port.-1.s.218.visible=1
unit.1.3.port.-1.s.219.alias=
unit.1.3.port.-1.s.219.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.219.name=SCRAMBLER_COUNT[3]
unit.1.3.port.-1.s.219.orderindex=-1
unit.1.3.port.-1.s.219.visible=1
unit.1.3.port.-1.s.22.alias=
unit.1.3.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.22.name=TX_DATAOUT[18]
unit.1.3.port.-1.s.22.orderindex=-1
unit.1.3.port.-1.s.22.visible=1
unit.1.3.port.-1.s.220.alias=
unit.1.3.port.-1.s.220.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.220.name=SCRAMBLER_COUNT[4]
unit.1.3.port.-1.s.220.orderindex=-1
unit.1.3.port.-1.s.220.visible=1
unit.1.3.port.-1.s.221.alias=
unit.1.3.port.-1.s.221.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.221.name=SCRAMBLER_COUNT[5]
unit.1.3.port.-1.s.221.orderindex=-1
unit.1.3.port.-1.s.221.visible=1
unit.1.3.port.-1.s.222.alias=
unit.1.3.port.-1.s.222.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.222.name=SCRAMBLER_COUNT[6]
unit.1.3.port.-1.s.222.orderindex=-1
unit.1.3.port.-1.s.222.visible=1
unit.1.3.port.-1.s.223.alias=
unit.1.3.port.-1.s.223.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.223.name=SCRAMBLER_COUNT[7]
unit.1.3.port.-1.s.223.orderindex=-1
unit.1.3.port.-1.s.223.visible=1
unit.1.3.port.-1.s.224.alias=
unit.1.3.port.-1.s.224.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.224.name=SCRAMBLER_COUNT[8]
unit.1.3.port.-1.s.224.orderindex=-1
unit.1.3.port.-1.s.224.visible=1
unit.1.3.port.-1.s.225.alias=
unit.1.3.port.-1.s.225.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.225.name=SCRAMBLER_COUNT[9]
unit.1.3.port.-1.s.225.orderindex=-1
unit.1.3.port.-1.s.225.visible=1
unit.1.3.port.-1.s.226.alias=
unit.1.3.port.-1.s.226.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.226.name=SCRAMBLER_COUNT[10]
unit.1.3.port.-1.s.226.orderindex=-1
unit.1.3.port.-1.s.226.visible=1
unit.1.3.port.-1.s.227.alias=
unit.1.3.port.-1.s.227.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.227.name=SCRAMBLER_COUNT[11]
unit.1.3.port.-1.s.227.orderindex=-1
unit.1.3.port.-1.s.227.visible=1
unit.1.3.port.-1.s.228.alias=
unit.1.3.port.-1.s.228.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.228.name=SCRAMBLER_COUNT[12]
unit.1.3.port.-1.s.228.orderindex=-1
unit.1.3.port.-1.s.228.visible=1
unit.1.3.port.-1.s.229.alias=
unit.1.3.port.-1.s.229.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.229.name=SCRAMBLER_COUNT[13]
unit.1.3.port.-1.s.229.orderindex=-1
unit.1.3.port.-1.s.229.visible=1
unit.1.3.port.-1.s.23.alias=
unit.1.3.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.23.name=TX_DATAOUT[19]
unit.1.3.port.-1.s.23.orderindex=-1
unit.1.3.port.-1.s.23.visible=1
unit.1.3.port.-1.s.230.alias=
unit.1.3.port.-1.s.230.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.230.name=SCRAMBLER_COUNT[14]
unit.1.3.port.-1.s.230.orderindex=-1
unit.1.3.port.-1.s.230.visible=1
unit.1.3.port.-1.s.231.alias=
unit.1.3.port.-1.s.231.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.231.name=SCRAMBLER_COUNT[15]
unit.1.3.port.-1.s.231.orderindex=-1
unit.1.3.port.-1.s.231.visible=1
unit.1.3.port.-1.s.232.alias=
unit.1.3.port.-1.s.232.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.232.name=TX_FIFO_WE
unit.1.3.port.-1.s.232.orderindex=-1
unit.1.3.port.-1.s.232.visible=1
unit.1.3.port.-1.s.233.alias=
unit.1.3.port.-1.s.233.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.233.name=TX_FIFO_RE
unit.1.3.port.-1.s.233.orderindex=-1
unit.1.3.port.-1.s.233.visible=1
unit.1.3.port.-1.s.234.alias=
unit.1.3.port.-1.s.234.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.234.name=TX_FIFO_FULL
unit.1.3.port.-1.s.234.orderindex=-1
unit.1.3.port.-1.s.234.visible=1
unit.1.3.port.-1.s.235.alias=
unit.1.3.port.-1.s.235.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.235.name=ALIGN_EN_OUT
unit.1.3.port.-1.s.235.orderindex=-1
unit.1.3.port.-1.s.235.visible=1
unit.1.3.port.-1.s.236.alias=
unit.1.3.port.-1.s.236.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.236.name=SYNC_DET
unit.1.3.port.-1.s.236.orderindex=-1
unit.1.3.port.-1.s.236.visible=1
unit.1.3.port.-1.s.237.alias=
unit.1.3.port.-1.s.237.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.237.name=R_RDY_DET
unit.1.3.port.-1.s.237.orderindex=-1
unit.1.3.port.-1.s.237.visible=1
unit.1.3.port.-1.s.238.alias=
unit.1.3.port.-1.s.238.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.238.name=R_IP_DET
unit.1.3.port.-1.s.238.orderindex=-1
unit.1.3.port.-1.s.238.visible=1
unit.1.3.port.-1.s.239.alias=
unit.1.3.port.-1.s.239.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.239.name=R_OK_DET
unit.1.3.port.-1.s.239.orderindex=-1
unit.1.3.port.-1.s.239.visible=1
unit.1.3.port.-1.s.24.alias=
unit.1.3.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.24.name=TX_DATAOUT[20]
unit.1.3.port.-1.s.24.orderindex=-1
unit.1.3.port.-1.s.24.visible=1
unit.1.3.port.-1.s.240.alias=
unit.1.3.port.-1.s.240.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.240.name=R_ERR_DET
unit.1.3.port.-1.s.240.orderindex=-1
unit.1.3.port.-1.s.240.visible=1
unit.1.3.port.-1.s.241.alias=
unit.1.3.port.-1.s.241.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.241.name=START_TX
unit.1.3.port.-1.s.241.orderindex=-1
unit.1.3.port.-1.s.241.visible=1
unit.1.3.port.-1.s.242.alias=
unit.1.3.port.-1.s.242.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.242.name=TX_DONE
unit.1.3.port.-1.s.242.orderindex=-1
unit.1.3.port.-1.s.242.visible=1
unit.1.3.port.-1.s.243.alias=TX_FIFO_ALMOST_EMPTY
unit.1.3.port.-1.s.243.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.243.name=RX_TX_STATE_SEL
unit.1.3.port.-1.s.243.orderindex=-1
unit.1.3.port.-1.s.243.visible=1
unit.1.3.port.-1.s.244.alias=
unit.1.3.port.-1.s.244.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.244.name=TX_CHARISK_OUT
unit.1.3.port.-1.s.244.orderindex=-1
unit.1.3.port.-1.s.244.visible=1
unit.1.3.port.-1.s.245.alias=
unit.1.3.port.-1.s.245.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.245.name=TX_FIFO_EMPTY
unit.1.3.port.-1.s.245.orderindex=-1
unit.1.3.port.-1.s.245.visible=1
unit.1.3.port.-1.s.246.alias=
unit.1.3.port.-1.s.246.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.246.name=SCRAMBLER_DIN_RE
unit.1.3.port.-1.s.246.orderindex=-1
unit.1.3.port.-1.s.246.visible=1
unit.1.3.port.-1.s.247.alias=
unit.1.3.port.-1.s.247.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.247.name=ALIGN_DET
unit.1.3.port.-1.s.247.orderindex=-1
unit.1.3.port.-1.s.247.visible=1
unit.1.3.port.-1.s.248.alias=
unit.1.3.port.-1.s.248.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.248.name=HOLD_START_DET
unit.1.3.port.-1.s.248.orderindex=-1
unit.1.3.port.-1.s.248.visible=1
unit.1.3.port.-1.s.249.alias=
unit.1.3.port.-1.s.249.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.249.name=HOLD_STOP_DET
unit.1.3.port.-1.s.249.orderindex=-1
unit.1.3.port.-1.s.249.visible=1
unit.1.3.port.-1.s.25.alias=
unit.1.3.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.25.name=TX_DATAOUT[21]
unit.1.3.port.-1.s.25.orderindex=-1
unit.1.3.port.-1.s.25.visible=1
unit.1.3.port.-1.s.250.alias=
unit.1.3.port.-1.s.250.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.250.name=CONT_DET
unit.1.3.port.-1.s.250.orderindex=-1
unit.1.3.port.-1.s.250.visible=1
unit.1.3.port.-1.s.251.alias=
unit.1.3.port.-1.s.251.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.251.name=WRITE_FIFO_PROG_FULL
unit.1.3.port.-1.s.251.orderindex=-1
unit.1.3.port.-1.s.251.visible=1
unit.1.3.port.-1.s.252.alias=SCRAMBLER_RESET_AFTER_NCQ
unit.1.3.port.-1.s.252.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.252.name=TX_ERR
unit.1.3.port.-1.s.252.orderindex=-1
unit.1.3.port.-1.s.252.visible=1
unit.1.3.port.-1.s.253.alias=WRITE_FIFO_ALMOST_EMPTY
unit.1.3.port.-1.s.253.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.253.name=FRAME_ERR
unit.1.3.port.-1.s.253.orderindex=-1
unit.1.3.port.-1.s.253.visible=1
unit.1.3.port.-1.s.254.alias=
unit.1.3.port.-1.s.254.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.254.name=NEW_CMD
unit.1.3.port.-1.s.254.orderindex=-1
unit.1.3.port.-1.s.254.visible=1
unit.1.3.port.-1.s.255.alias=
unit.1.3.port.-1.s.255.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.255.name=SCRAMBLER_RESET_AFTER_FIS
unit.1.3.port.-1.s.255.orderindex=-1
unit.1.3.port.-1.s.255.visible=1
unit.1.3.port.-1.s.256.alias=
unit.1.3.port.-1.s.256.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.256.name=WRITE_FIFO_WE
unit.1.3.port.-1.s.256.orderindex=-1
unit.1.3.port.-1.s.256.visible=1
unit.1.3.port.-1.s.257.alias=
unit.1.3.port.-1.s.257.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.257.name=WRITE_FIFO_RE
unit.1.3.port.-1.s.257.orderindex=-1
unit.1.3.port.-1.s.257.visible=1
unit.1.3.port.-1.s.258.alias=
unit.1.3.port.-1.s.258.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.258.name=WRITE_FIFO_EMPTY
unit.1.3.port.-1.s.258.orderindex=-1
unit.1.3.port.-1.s.258.visible=1
unit.1.3.port.-1.s.259.alias=
unit.1.3.port.-1.s.259.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.259.name=SCRAMBLER_EN
unit.1.3.port.-1.s.259.orderindex=-1
unit.1.3.port.-1.s.259.visible=1
unit.1.3.port.-1.s.26.alias=
unit.1.3.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.26.name=TX_DATAOUT[22]
unit.1.3.port.-1.s.26.orderindex=-1
unit.1.3.port.-1.s.26.visible=1
unit.1.3.port.-1.s.260.alias=
unit.1.3.port.-1.s.260.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.260.name=TX_FIFO_PROG_FULL
unit.1.3.port.-1.s.260.orderindex=-1
unit.1.3.port.-1.s.260.visible=1
unit.1.3.port.-1.s.261.alias=
unit.1.3.port.-1.s.261.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.261.name=SCRAMBLER_COUNT_EN_DATA_FIS
unit.1.3.port.-1.s.261.orderindex=-1
unit.1.3.port.-1.s.261.visible=1
unit.1.3.port.-1.s.262.alias=
unit.1.3.port.-1.s.262.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.262.name=SCRAMBLER_RESET
unit.1.3.port.-1.s.262.orderindex=-1
unit.1.3.port.-1.s.262.visible=1
unit.1.3.port.-1.s.263.alias=
unit.1.3.port.-1.s.263.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.263.name=CRC_EN
unit.1.3.port.-1.s.263.orderindex=-1
unit.1.3.port.-1.s.263.visible=1
unit.1.3.port.-1.s.264.alias=
unit.1.3.port.-1.s.264.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.264.name=SCRAMBLER_DIN[0]
unit.1.3.port.-1.s.264.orderindex=-1
unit.1.3.port.-1.s.264.visible=1
unit.1.3.port.-1.s.265.alias=
unit.1.3.port.-1.s.265.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.265.name=SCRAMBLER_DIN[1]
unit.1.3.port.-1.s.265.orderindex=-1
unit.1.3.port.-1.s.265.visible=1
unit.1.3.port.-1.s.266.alias=
unit.1.3.port.-1.s.266.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.266.name=SCRAMBLER_DIN[2]
unit.1.3.port.-1.s.266.orderindex=-1
unit.1.3.port.-1.s.266.visible=1
unit.1.3.port.-1.s.267.alias=
unit.1.3.port.-1.s.267.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.267.name=SCRAMBLER_DIN[3]
unit.1.3.port.-1.s.267.orderindex=-1
unit.1.3.port.-1.s.267.visible=1
unit.1.3.port.-1.s.268.alias=
unit.1.3.port.-1.s.268.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.268.name=SCRAMBLER_DIN[4]
unit.1.3.port.-1.s.268.orderindex=-1
unit.1.3.port.-1.s.268.visible=1
unit.1.3.port.-1.s.269.alias=
unit.1.3.port.-1.s.269.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.269.name=SCRAMBLER_DIN[5]
unit.1.3.port.-1.s.269.orderindex=-1
unit.1.3.port.-1.s.269.visible=1
unit.1.3.port.-1.s.27.alias=
unit.1.3.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.27.name=TX_DATAOUT[23]
unit.1.3.port.-1.s.27.orderindex=-1
unit.1.3.port.-1.s.27.visible=1
unit.1.3.port.-1.s.270.alias=
unit.1.3.port.-1.s.270.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.270.name=SCRAMBLER_DIN[6]
unit.1.3.port.-1.s.270.orderindex=-1
unit.1.3.port.-1.s.270.visible=1
unit.1.3.port.-1.s.271.alias=
unit.1.3.port.-1.s.271.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.271.name=SCRAMBLER_DIN[7]
unit.1.3.port.-1.s.271.orderindex=-1
unit.1.3.port.-1.s.271.visible=1
unit.1.3.port.-1.s.272.alias=
unit.1.3.port.-1.s.272.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.272.name=SCRAMBLER_DIN[8]
unit.1.3.port.-1.s.272.orderindex=-1
unit.1.3.port.-1.s.272.visible=1
unit.1.3.port.-1.s.273.alias=
unit.1.3.port.-1.s.273.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.273.name=SCRAMBLER_DIN[9]
unit.1.3.port.-1.s.273.orderindex=-1
unit.1.3.port.-1.s.273.visible=1
unit.1.3.port.-1.s.274.alias=
unit.1.3.port.-1.s.274.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.274.name=SCRAMBLER_DIN[10]
unit.1.3.port.-1.s.274.orderindex=-1
unit.1.3.port.-1.s.274.visible=1
unit.1.3.port.-1.s.275.alias=
unit.1.3.port.-1.s.275.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.275.name=SCRAMBLER_DIN[11]
unit.1.3.port.-1.s.275.orderindex=-1
unit.1.3.port.-1.s.275.visible=1
unit.1.3.port.-1.s.276.alias=
unit.1.3.port.-1.s.276.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.276.name=SCRAMBLER_DIN[12]
unit.1.3.port.-1.s.276.orderindex=-1
unit.1.3.port.-1.s.276.visible=1
unit.1.3.port.-1.s.277.alias=
unit.1.3.port.-1.s.277.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.277.name=SCRAMBLER_DIN[13]
unit.1.3.port.-1.s.277.orderindex=-1
unit.1.3.port.-1.s.277.visible=1
unit.1.3.port.-1.s.278.alias=
unit.1.3.port.-1.s.278.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.278.name=SCRAMBLER_DIN[14]
unit.1.3.port.-1.s.278.orderindex=-1
unit.1.3.port.-1.s.278.visible=1
unit.1.3.port.-1.s.279.alias=
unit.1.3.port.-1.s.279.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.279.name=SCRAMBLER_DIN[15]
unit.1.3.port.-1.s.279.orderindex=-1
unit.1.3.port.-1.s.279.visible=1
unit.1.3.port.-1.s.28.alias=
unit.1.3.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.28.name=TX_DATAOUT[24]
unit.1.3.port.-1.s.28.orderindex=-1
unit.1.3.port.-1.s.28.visible=1
unit.1.3.port.-1.s.280.alias=
unit.1.3.port.-1.s.280.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.280.name=SCRAMBLER_DIN[16]
unit.1.3.port.-1.s.280.orderindex=-1
unit.1.3.port.-1.s.280.visible=1
unit.1.3.port.-1.s.281.alias=
unit.1.3.port.-1.s.281.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.281.name=SCRAMBLER_DIN[17]
unit.1.3.port.-1.s.281.orderindex=-1
unit.1.3.port.-1.s.281.visible=1
unit.1.3.port.-1.s.282.alias=
unit.1.3.port.-1.s.282.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.282.name=SCRAMBLER_DIN[18]
unit.1.3.port.-1.s.282.orderindex=-1
unit.1.3.port.-1.s.282.visible=1
unit.1.3.port.-1.s.283.alias=
unit.1.3.port.-1.s.283.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.283.name=SCRAMBLER_DIN[19]
unit.1.3.port.-1.s.283.orderindex=-1
unit.1.3.port.-1.s.283.visible=1
unit.1.3.port.-1.s.284.alias=
unit.1.3.port.-1.s.284.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.284.name=SCRAMBLER_DIN[20]
unit.1.3.port.-1.s.284.orderindex=-1
unit.1.3.port.-1.s.284.visible=1
unit.1.3.port.-1.s.285.alias=
unit.1.3.port.-1.s.285.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.285.name=SCRAMBLER_DIN[21]
unit.1.3.port.-1.s.285.orderindex=-1
unit.1.3.port.-1.s.285.visible=1
unit.1.3.port.-1.s.286.alias=
unit.1.3.port.-1.s.286.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.286.name=SCRAMBLER_DIN[22]
unit.1.3.port.-1.s.286.orderindex=-1
unit.1.3.port.-1.s.286.visible=1
unit.1.3.port.-1.s.287.alias=
unit.1.3.port.-1.s.287.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.287.name=SCRAMBLER_DIN[23]
unit.1.3.port.-1.s.287.orderindex=-1
unit.1.3.port.-1.s.287.visible=1
unit.1.3.port.-1.s.288.alias=
unit.1.3.port.-1.s.288.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.288.name=SCRAMBLER_DIN[24]
unit.1.3.port.-1.s.288.orderindex=-1
unit.1.3.port.-1.s.288.visible=1
unit.1.3.port.-1.s.289.alias=
unit.1.3.port.-1.s.289.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.289.name=SCRAMBLER_DIN[25]
unit.1.3.port.-1.s.289.orderindex=-1
unit.1.3.port.-1.s.289.visible=1
unit.1.3.port.-1.s.29.alias=
unit.1.3.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.29.name=TX_DATAOUT[25]
unit.1.3.port.-1.s.29.orderindex=-1
unit.1.3.port.-1.s.29.visible=1
unit.1.3.port.-1.s.290.alias=
unit.1.3.port.-1.s.290.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.290.name=SCRAMBLER_DIN[26]
unit.1.3.port.-1.s.290.orderindex=-1
unit.1.3.port.-1.s.290.visible=1
unit.1.3.port.-1.s.291.alias=
unit.1.3.port.-1.s.291.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.291.name=SCRAMBLER_DIN[27]
unit.1.3.port.-1.s.291.orderindex=-1
unit.1.3.port.-1.s.291.visible=1
unit.1.3.port.-1.s.292.alias=
unit.1.3.port.-1.s.292.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.292.name=SCRAMBLER_DIN[28]
unit.1.3.port.-1.s.292.orderindex=-1
unit.1.3.port.-1.s.292.visible=1
unit.1.3.port.-1.s.293.alias=
unit.1.3.port.-1.s.293.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.293.name=SCRAMBLER_DIN[29]
unit.1.3.port.-1.s.293.orderindex=-1
unit.1.3.port.-1.s.293.visible=1
unit.1.3.port.-1.s.294.alias=
unit.1.3.port.-1.s.294.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.294.name=SCRAMBLER_DIN[30]
unit.1.3.port.-1.s.294.orderindex=-1
unit.1.3.port.-1.s.294.visible=1
unit.1.3.port.-1.s.295.alias=
unit.1.3.port.-1.s.295.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.295.name=SCRAMBLER_DIN[31]
unit.1.3.port.-1.s.295.orderindex=-1
unit.1.3.port.-1.s.295.visible=1
unit.1.3.port.-1.s.296.alias=
unit.1.3.port.-1.s.296.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.296.name=CRC_DOUT[0]
unit.1.3.port.-1.s.296.orderindex=-1
unit.1.3.port.-1.s.296.visible=1
unit.1.3.port.-1.s.297.alias=
unit.1.3.port.-1.s.297.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.297.name=CRC_DOUT[1]
unit.1.3.port.-1.s.297.orderindex=-1
unit.1.3.port.-1.s.297.visible=1
unit.1.3.port.-1.s.298.alias=
unit.1.3.port.-1.s.298.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.298.name=CRC_DOUT[2]
unit.1.3.port.-1.s.298.orderindex=-1
unit.1.3.port.-1.s.298.visible=1
unit.1.3.port.-1.s.299.alias=
unit.1.3.port.-1.s.299.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.299.name=CRC_DOUT[3]
unit.1.3.port.-1.s.299.orderindex=-1
unit.1.3.port.-1.s.299.visible=1
unit.1.3.port.-1.s.3.alias=
unit.1.3.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.3.name=TX_FRAME_VALUE[3]
unit.1.3.port.-1.s.3.orderindex=-1
unit.1.3.port.-1.s.3.visible=1
unit.1.3.port.-1.s.30.alias=
unit.1.3.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.30.name=TX_DATAOUT[26]
unit.1.3.port.-1.s.30.orderindex=-1
unit.1.3.port.-1.s.30.visible=1
unit.1.3.port.-1.s.300.alias=
unit.1.3.port.-1.s.300.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.300.name=CRC_DOUT[4]
unit.1.3.port.-1.s.300.orderindex=-1
unit.1.3.port.-1.s.300.visible=1
unit.1.3.port.-1.s.301.alias=
unit.1.3.port.-1.s.301.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.301.name=CRC_DOUT[5]
unit.1.3.port.-1.s.301.orderindex=-1
unit.1.3.port.-1.s.301.visible=1
unit.1.3.port.-1.s.302.alias=
unit.1.3.port.-1.s.302.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.302.name=CRC_DOUT[6]
unit.1.3.port.-1.s.302.orderindex=-1
unit.1.3.port.-1.s.302.visible=1
unit.1.3.port.-1.s.303.alias=
unit.1.3.port.-1.s.303.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.303.name=CRC_DOUT[7]
unit.1.3.port.-1.s.303.orderindex=-1
unit.1.3.port.-1.s.303.visible=1
unit.1.3.port.-1.s.304.alias=
unit.1.3.port.-1.s.304.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.304.name=CRC_DOUT[8]
unit.1.3.port.-1.s.304.orderindex=-1
unit.1.3.port.-1.s.304.visible=1
unit.1.3.port.-1.s.305.alias=
unit.1.3.port.-1.s.305.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.305.name=CRC_DOUT[9]
unit.1.3.port.-1.s.305.orderindex=-1
unit.1.3.port.-1.s.305.visible=1
unit.1.3.port.-1.s.306.alias=
unit.1.3.port.-1.s.306.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.306.name=CRC_DOUT[10]
unit.1.3.port.-1.s.306.orderindex=-1
unit.1.3.port.-1.s.306.visible=1
unit.1.3.port.-1.s.307.alias=
unit.1.3.port.-1.s.307.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.307.name=CRC_DOUT[11]
unit.1.3.port.-1.s.307.orderindex=-1
unit.1.3.port.-1.s.307.visible=1
unit.1.3.port.-1.s.308.alias=
unit.1.3.port.-1.s.308.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.308.name=CRC_DOUT[12]
unit.1.3.port.-1.s.308.orderindex=-1
unit.1.3.port.-1.s.308.visible=1
unit.1.3.port.-1.s.309.alias=
unit.1.3.port.-1.s.309.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.309.name=CRC_DOUT[13]
unit.1.3.port.-1.s.309.orderindex=-1
unit.1.3.port.-1.s.309.visible=1
unit.1.3.port.-1.s.31.alias=
unit.1.3.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.31.name=TX_DATAOUT[27]
unit.1.3.port.-1.s.31.orderindex=-1
unit.1.3.port.-1.s.31.visible=1
unit.1.3.port.-1.s.310.alias=
unit.1.3.port.-1.s.310.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.310.name=CRC_DOUT[14]
unit.1.3.port.-1.s.310.orderindex=-1
unit.1.3.port.-1.s.310.visible=1
unit.1.3.port.-1.s.311.alias=
unit.1.3.port.-1.s.311.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.311.name=CRC_DOUT[15]
unit.1.3.port.-1.s.311.orderindex=-1
unit.1.3.port.-1.s.311.visible=1
unit.1.3.port.-1.s.312.alias=
unit.1.3.port.-1.s.312.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.312.name=CRC_DOUT[16]
unit.1.3.port.-1.s.312.orderindex=-1
unit.1.3.port.-1.s.312.visible=1
unit.1.3.port.-1.s.313.alias=
unit.1.3.port.-1.s.313.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.313.name=CRC_DOUT[17]
unit.1.3.port.-1.s.313.orderindex=-1
unit.1.3.port.-1.s.313.visible=1
unit.1.3.port.-1.s.314.alias=
unit.1.3.port.-1.s.314.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.314.name=CRC_DOUT[18]
unit.1.3.port.-1.s.314.orderindex=-1
unit.1.3.port.-1.s.314.visible=1
unit.1.3.port.-1.s.315.alias=
unit.1.3.port.-1.s.315.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.315.name=CRC_DOUT[19]
unit.1.3.port.-1.s.315.orderindex=-1
unit.1.3.port.-1.s.315.visible=1
unit.1.3.port.-1.s.316.alias=
unit.1.3.port.-1.s.316.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.316.name=CRC_DOUT[20]
unit.1.3.port.-1.s.316.orderindex=-1
unit.1.3.port.-1.s.316.visible=1
unit.1.3.port.-1.s.317.alias=
unit.1.3.port.-1.s.317.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.317.name=CRC_DOUT[21]
unit.1.3.port.-1.s.317.orderindex=-1
unit.1.3.port.-1.s.317.visible=1
unit.1.3.port.-1.s.318.alias=
unit.1.3.port.-1.s.318.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.318.name=CRC_DOUT[22]
unit.1.3.port.-1.s.318.orderindex=-1
unit.1.3.port.-1.s.318.visible=1
unit.1.3.port.-1.s.319.alias=
unit.1.3.port.-1.s.319.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.319.name=CRC_DOUT[23]
unit.1.3.port.-1.s.319.orderindex=-1
unit.1.3.port.-1.s.319.visible=1
unit.1.3.port.-1.s.32.alias=
unit.1.3.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.32.name=TX_DATAOUT[28]
unit.1.3.port.-1.s.32.orderindex=-1
unit.1.3.port.-1.s.32.visible=1
unit.1.3.port.-1.s.320.alias=
unit.1.3.port.-1.s.320.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.320.name=CRC_DOUT[24]
unit.1.3.port.-1.s.320.orderindex=-1
unit.1.3.port.-1.s.320.visible=1
unit.1.3.port.-1.s.321.alias=
unit.1.3.port.-1.s.321.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.321.name=CRC_DOUT[25]
unit.1.3.port.-1.s.321.orderindex=-1
unit.1.3.port.-1.s.321.visible=1
unit.1.3.port.-1.s.322.alias=
unit.1.3.port.-1.s.322.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.322.name=CRC_DOUT[26]
unit.1.3.port.-1.s.322.orderindex=-1
unit.1.3.port.-1.s.322.visible=1
unit.1.3.port.-1.s.323.alias=
unit.1.3.port.-1.s.323.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.323.name=CRC_DOUT[27]
unit.1.3.port.-1.s.323.orderindex=-1
unit.1.3.port.-1.s.323.visible=1
unit.1.3.port.-1.s.324.alias=
unit.1.3.port.-1.s.324.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.324.name=CRC_DOUT[28]
unit.1.3.port.-1.s.324.orderindex=-1
unit.1.3.port.-1.s.324.visible=1
unit.1.3.port.-1.s.325.alias=
unit.1.3.port.-1.s.325.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.325.name=CRC_DOUT[29]
unit.1.3.port.-1.s.325.orderindex=-1
unit.1.3.port.-1.s.325.visible=1
unit.1.3.port.-1.s.326.alias=
unit.1.3.port.-1.s.326.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.326.name=CRC_DOUT[30]
unit.1.3.port.-1.s.326.orderindex=-1
unit.1.3.port.-1.s.326.visible=1
unit.1.3.port.-1.s.327.alias=
unit.1.3.port.-1.s.327.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.327.name=CRC_DOUT[31]
unit.1.3.port.-1.s.327.orderindex=-1
unit.1.3.port.-1.s.327.visible=1
unit.1.3.port.-1.s.328.alias=
unit.1.3.port.-1.s.328.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.328.name=TX_SECTOR_COUNT[0]
unit.1.3.port.-1.s.328.orderindex=-1
unit.1.3.port.-1.s.328.visible=1
unit.1.3.port.-1.s.329.alias=
unit.1.3.port.-1.s.329.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.329.name=TX_SECTOR_COUNT[1]
unit.1.3.port.-1.s.329.orderindex=-1
unit.1.3.port.-1.s.329.visible=1
unit.1.3.port.-1.s.33.alias=
unit.1.3.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.33.name=TX_DATAOUT[29]
unit.1.3.port.-1.s.33.orderindex=-1
unit.1.3.port.-1.s.33.visible=1
unit.1.3.port.-1.s.330.alias=
unit.1.3.port.-1.s.330.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.330.name=TX_SECTOR_COUNT[2]
unit.1.3.port.-1.s.330.orderindex=-1
unit.1.3.port.-1.s.330.visible=1
unit.1.3.port.-1.s.331.alias=
unit.1.3.port.-1.s.331.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.331.name=TX_SECTOR_COUNT[3]
unit.1.3.port.-1.s.331.orderindex=-1
unit.1.3.port.-1.s.331.visible=1
unit.1.3.port.-1.s.332.alias=
unit.1.3.port.-1.s.332.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.332.name=TX_SECTOR_COUNT[4]
unit.1.3.port.-1.s.332.orderindex=-1
unit.1.3.port.-1.s.332.visible=1
unit.1.3.port.-1.s.333.alias=
unit.1.3.port.-1.s.333.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.333.name=TX_SECTOR_COUNT[5]
unit.1.3.port.-1.s.333.orderindex=-1
unit.1.3.port.-1.s.333.visible=1
unit.1.3.port.-1.s.334.alias=
unit.1.3.port.-1.s.334.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.334.name=TX_SECTOR_COUNT[6]
unit.1.3.port.-1.s.334.orderindex=-1
unit.1.3.port.-1.s.334.visible=1
unit.1.3.port.-1.s.335.alias=
unit.1.3.port.-1.s.335.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.335.name=TX_SECTOR_COUNT[7]
unit.1.3.port.-1.s.335.orderindex=-1
unit.1.3.port.-1.s.335.visible=1
unit.1.3.port.-1.s.336.alias=
unit.1.3.port.-1.s.336.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.336.name=TX_SECTOR_COUNT[8]
unit.1.3.port.-1.s.336.orderindex=-1
unit.1.3.port.-1.s.336.visible=1
unit.1.3.port.-1.s.337.alias=
unit.1.3.port.-1.s.337.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.337.name=TX_SECTOR_COUNT[9]
unit.1.3.port.-1.s.337.orderindex=-1
unit.1.3.port.-1.s.337.visible=1
unit.1.3.port.-1.s.338.alias=
unit.1.3.port.-1.s.338.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.338.name=TX_SECTOR_COUNT[10]
unit.1.3.port.-1.s.338.orderindex=-1
unit.1.3.port.-1.s.338.visible=1
unit.1.3.port.-1.s.339.alias=
unit.1.3.port.-1.s.339.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.339.name=TX_SECTOR_COUNT[11]
unit.1.3.port.-1.s.339.orderindex=-1
unit.1.3.port.-1.s.339.visible=1
unit.1.3.port.-1.s.34.alias=
unit.1.3.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.34.name=TX_DATAOUT[30]
unit.1.3.port.-1.s.34.orderindex=-1
unit.1.3.port.-1.s.34.visible=1
unit.1.3.port.-1.s.340.alias=
unit.1.3.port.-1.s.340.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.340.name=TX_SECTOR_COUNT[12]
unit.1.3.port.-1.s.340.orderindex=-1
unit.1.3.port.-1.s.340.visible=1
unit.1.3.port.-1.s.341.alias=
unit.1.3.port.-1.s.341.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.341.name=TX_SECTOR_COUNT[13]
unit.1.3.port.-1.s.341.orderindex=-1
unit.1.3.port.-1.s.341.visible=1
unit.1.3.port.-1.s.342.alias=
unit.1.3.port.-1.s.342.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.342.name=TX_SECTOR_COUNT[14]
unit.1.3.port.-1.s.342.orderindex=-1
unit.1.3.port.-1.s.342.visible=1
unit.1.3.port.-1.s.343.alias=
unit.1.3.port.-1.s.343.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.343.name=TX_SECTOR_COUNT[15]
unit.1.3.port.-1.s.343.orderindex=-1
unit.1.3.port.-1.s.343.visible=1
unit.1.3.port.-1.s.344.alias=
unit.1.3.port.-1.s.344.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.344.name=SCRAMBLER_COUNT_VALUE[0]
unit.1.3.port.-1.s.344.orderindex=-1
unit.1.3.port.-1.s.344.visible=1
unit.1.3.port.-1.s.345.alias=
unit.1.3.port.-1.s.345.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.345.name=SCRAMBLER_COUNT_VALUE[1]
unit.1.3.port.-1.s.345.orderindex=-1
unit.1.3.port.-1.s.345.visible=1
unit.1.3.port.-1.s.346.alias=
unit.1.3.port.-1.s.346.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.346.name=SCRAMBLER_COUNT_VALUE[2]
unit.1.3.port.-1.s.346.orderindex=-1
unit.1.3.port.-1.s.346.visible=1
unit.1.3.port.-1.s.347.alias=
unit.1.3.port.-1.s.347.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.347.name=SCRAMBLER_COUNT_VALUE[3]
unit.1.3.port.-1.s.347.orderindex=-1
unit.1.3.port.-1.s.347.visible=1
unit.1.3.port.-1.s.348.alias=
unit.1.3.port.-1.s.348.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.348.name=SCRAMBLER_COUNT_VALUE[4]
unit.1.3.port.-1.s.348.orderindex=-1
unit.1.3.port.-1.s.348.visible=1
unit.1.3.port.-1.s.349.alias=
unit.1.3.port.-1.s.349.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.349.name=SCRAMBLER_COUNT_VALUE[5]
unit.1.3.port.-1.s.349.orderindex=-1
unit.1.3.port.-1.s.349.visible=1
unit.1.3.port.-1.s.35.alias=
unit.1.3.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.35.name=TX_DATAOUT[31]
unit.1.3.port.-1.s.35.orderindex=-1
unit.1.3.port.-1.s.35.visible=1
unit.1.3.port.-1.s.350.alias=
unit.1.3.port.-1.s.350.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.350.name=SCRAMBLER_COUNT_VALUE[6]
unit.1.3.port.-1.s.350.orderindex=-1
unit.1.3.port.-1.s.350.visible=1
unit.1.3.port.-1.s.351.alias=
unit.1.3.port.-1.s.351.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.351.name=SCRAMBLER_COUNT_VALUE[7]
unit.1.3.port.-1.s.351.orderindex=-1
unit.1.3.port.-1.s.351.visible=1
unit.1.3.port.-1.s.352.alias=
unit.1.3.port.-1.s.352.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.352.name=SCRAMBLER_COUNT_VALUE[8]
unit.1.3.port.-1.s.352.orderindex=-1
unit.1.3.port.-1.s.352.visible=1
unit.1.3.port.-1.s.353.alias=
unit.1.3.port.-1.s.353.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.353.name=SCRAMBLER_COUNT_VALUE[9]
unit.1.3.port.-1.s.353.orderindex=-1
unit.1.3.port.-1.s.353.visible=1
unit.1.3.port.-1.s.354.alias=
unit.1.3.port.-1.s.354.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.354.name=SCRAMBLER_COUNT_VALUE[10]
unit.1.3.port.-1.s.354.orderindex=-1
unit.1.3.port.-1.s.354.visible=1
unit.1.3.port.-1.s.355.alias=
unit.1.3.port.-1.s.355.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.355.name=SCRAMBLER_COUNT_VALUE[11]
unit.1.3.port.-1.s.355.orderindex=-1
unit.1.3.port.-1.s.355.visible=1
unit.1.3.port.-1.s.356.alias=
unit.1.3.port.-1.s.356.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.356.name=SCRAMBLER_COUNT_VALUE[12]
unit.1.3.port.-1.s.356.orderindex=-1
unit.1.3.port.-1.s.356.visible=1
unit.1.3.port.-1.s.357.alias=
unit.1.3.port.-1.s.357.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.357.name=SCRAMBLER_COUNT_VALUE[13]
unit.1.3.port.-1.s.357.orderindex=-1
unit.1.3.port.-1.s.357.visible=1
unit.1.3.port.-1.s.358.alias=
unit.1.3.port.-1.s.358.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.358.name=SCRAMBLER_COUNT_VALUE[14]
unit.1.3.port.-1.s.358.orderindex=-1
unit.1.3.port.-1.s.358.visible=1
unit.1.3.port.-1.s.359.alias=
unit.1.3.port.-1.s.359.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.359.name=SCRAMBLER_COUNT_VALUE[15]
unit.1.3.port.-1.s.359.orderindex=-1
unit.1.3.port.-1.s.359.visible=1
unit.1.3.port.-1.s.36.alias=
unit.1.3.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.36.name=RX_DATAIN[0]
unit.1.3.port.-1.s.36.orderindex=-1
unit.1.3.port.-1.s.36.visible=1
unit.1.3.port.-1.s.360.alias=
unit.1.3.port.-1.s.360.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.360.name=TX_FIFO_DATA_COUNT[0]
unit.1.3.port.-1.s.360.orderindex=-1
unit.1.3.port.-1.s.360.visible=1
unit.1.3.port.-1.s.361.alias=
unit.1.3.port.-1.s.361.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.361.name=TX_FIFO_DATA_COUNT[1]
unit.1.3.port.-1.s.361.orderindex=-1
unit.1.3.port.-1.s.361.visible=1
unit.1.3.port.-1.s.362.alias=
unit.1.3.port.-1.s.362.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.362.name=TX_FIFO_DATA_COUNT[2]
unit.1.3.port.-1.s.362.orderindex=-1
unit.1.3.port.-1.s.362.visible=1
unit.1.3.port.-1.s.363.alias=
unit.1.3.port.-1.s.363.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.363.name=TX_FIFO_DATA_COUNT[3]
unit.1.3.port.-1.s.363.orderindex=-1
unit.1.3.port.-1.s.363.visible=1
unit.1.3.port.-1.s.364.alias=
unit.1.3.port.-1.s.364.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.364.name=TX_FIFO_DATA_COUNT[4]
unit.1.3.port.-1.s.364.orderindex=-1
unit.1.3.port.-1.s.364.visible=1
unit.1.3.port.-1.s.365.alias=
unit.1.3.port.-1.s.365.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.365.name=TX_FIFO_DATA_COUNT[5]
unit.1.3.port.-1.s.365.orderindex=-1
unit.1.3.port.-1.s.365.visible=1
unit.1.3.port.-1.s.366.alias=
unit.1.3.port.-1.s.366.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.366.name=TX_FIFO_DATA_COUNT[6]
unit.1.3.port.-1.s.366.orderindex=-1
unit.1.3.port.-1.s.366.visible=1
unit.1.3.port.-1.s.367.alias=
unit.1.3.port.-1.s.367.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.367.name=TX_FIFO_DATA_COUNT[7]
unit.1.3.port.-1.s.367.orderindex=-1
unit.1.3.port.-1.s.367.visible=1
unit.1.3.port.-1.s.368.alias=
unit.1.3.port.-1.s.368.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.368.name=TX_FIFO_DATA_COUNT[8]
unit.1.3.port.-1.s.368.orderindex=-1
unit.1.3.port.-1.s.368.visible=1
unit.1.3.port.-1.s.369.alias=
unit.1.3.port.-1.s.369.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.369.name=TX_FIFO_DATA_COUNT[9]
unit.1.3.port.-1.s.369.orderindex=-1
unit.1.3.port.-1.s.369.visible=1
unit.1.3.port.-1.s.37.alias=
unit.1.3.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.37.name=RX_DATAIN[1]
unit.1.3.port.-1.s.37.orderindex=-1
unit.1.3.port.-1.s.37.visible=1
unit.1.3.port.-1.s.38.alias=
unit.1.3.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.38.name=RX_DATAIN[2]
unit.1.3.port.-1.s.38.orderindex=-1
unit.1.3.port.-1.s.38.visible=1
unit.1.3.port.-1.s.39.alias=
unit.1.3.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.39.name=RX_DATAIN[3]
unit.1.3.port.-1.s.39.orderindex=-1
unit.1.3.port.-1.s.39.visible=1
unit.1.3.port.-1.s.4.alias=
unit.1.3.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.4.name=TX_DATAOUT[0]
unit.1.3.port.-1.s.4.orderindex=-1
unit.1.3.port.-1.s.4.visible=1
unit.1.3.port.-1.s.40.alias=
unit.1.3.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.40.name=RX_DATAIN[4]
unit.1.3.port.-1.s.40.orderindex=-1
unit.1.3.port.-1.s.40.visible=1
unit.1.3.port.-1.s.41.alias=
unit.1.3.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.41.name=RX_DATAIN[5]
unit.1.3.port.-1.s.41.orderindex=-1
unit.1.3.port.-1.s.41.visible=1
unit.1.3.port.-1.s.42.alias=
unit.1.3.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.42.name=RX_DATAIN[6]
unit.1.3.port.-1.s.42.orderindex=-1
unit.1.3.port.-1.s.42.visible=1
unit.1.3.port.-1.s.43.alias=
unit.1.3.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.43.name=RX_DATAIN[7]
unit.1.3.port.-1.s.43.orderindex=-1
unit.1.3.port.-1.s.43.visible=1
unit.1.3.port.-1.s.44.alias=
unit.1.3.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.44.name=RX_DATAIN[8]
unit.1.3.port.-1.s.44.orderindex=-1
unit.1.3.port.-1.s.44.visible=1
unit.1.3.port.-1.s.45.alias=
unit.1.3.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.45.name=RX_DATAIN[9]
unit.1.3.port.-1.s.45.orderindex=-1
unit.1.3.port.-1.s.45.visible=1
unit.1.3.port.-1.s.46.alias=
unit.1.3.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.46.name=RX_DATAIN[10]
unit.1.3.port.-1.s.46.orderindex=-1
unit.1.3.port.-1.s.46.visible=1
unit.1.3.port.-1.s.47.alias=
unit.1.3.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.47.name=RX_DATAIN[11]
unit.1.3.port.-1.s.47.orderindex=-1
unit.1.3.port.-1.s.47.visible=1
unit.1.3.port.-1.s.48.alias=
unit.1.3.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.48.name=RX_DATAIN[12]
unit.1.3.port.-1.s.48.orderindex=-1
unit.1.3.port.-1.s.48.visible=1
unit.1.3.port.-1.s.49.alias=
unit.1.3.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.49.name=RX_DATAIN[13]
unit.1.3.port.-1.s.49.orderindex=-1
unit.1.3.port.-1.s.49.visible=1
unit.1.3.port.-1.s.5.alias=
unit.1.3.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.5.name=TX_DATAOUT[1]
unit.1.3.port.-1.s.5.orderindex=-1
unit.1.3.port.-1.s.5.visible=1
unit.1.3.port.-1.s.50.alias=
unit.1.3.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.50.name=RX_DATAIN[14]
unit.1.3.port.-1.s.50.orderindex=-1
unit.1.3.port.-1.s.50.visible=1
unit.1.3.port.-1.s.51.alias=
unit.1.3.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.51.name=RX_DATAIN[15]
unit.1.3.port.-1.s.51.orderindex=-1
unit.1.3.port.-1.s.51.visible=1
unit.1.3.port.-1.s.52.alias=
unit.1.3.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.52.name=RX_DATAIN[16]
unit.1.3.port.-1.s.52.orderindex=-1
unit.1.3.port.-1.s.52.visible=1
unit.1.3.port.-1.s.53.alias=
unit.1.3.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.53.name=RX_DATAIN[17]
unit.1.3.port.-1.s.53.orderindex=-1
unit.1.3.port.-1.s.53.visible=1
unit.1.3.port.-1.s.54.alias=
unit.1.3.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.54.name=RX_DATAIN[18]
unit.1.3.port.-1.s.54.orderindex=-1
unit.1.3.port.-1.s.54.visible=1
unit.1.3.port.-1.s.55.alias=
unit.1.3.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.55.name=RX_DATAIN[19]
unit.1.3.port.-1.s.55.orderindex=-1
unit.1.3.port.-1.s.55.visible=1
unit.1.3.port.-1.s.56.alias=
unit.1.3.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.56.name=RX_DATAIN[20]
unit.1.3.port.-1.s.56.orderindex=-1
unit.1.3.port.-1.s.56.visible=1
unit.1.3.port.-1.s.57.alias=
unit.1.3.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.57.name=RX_DATAIN[21]
unit.1.3.port.-1.s.57.orderindex=-1
unit.1.3.port.-1.s.57.visible=1
unit.1.3.port.-1.s.58.alias=
unit.1.3.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.58.name=RX_DATAIN[22]
unit.1.3.port.-1.s.58.orderindex=-1
unit.1.3.port.-1.s.58.visible=1
unit.1.3.port.-1.s.59.alias=
unit.1.3.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.59.name=RX_DATAIN[23]
unit.1.3.port.-1.s.59.orderindex=-1
unit.1.3.port.-1.s.59.visible=1
unit.1.3.port.-1.s.6.alias=
unit.1.3.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.6.name=TX_DATAOUT[2]
unit.1.3.port.-1.s.6.orderindex=-1
unit.1.3.port.-1.s.6.visible=1
unit.1.3.port.-1.s.60.alias=
unit.1.3.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.60.name=RX_DATAIN[24]
unit.1.3.port.-1.s.60.orderindex=-1
unit.1.3.port.-1.s.60.visible=1
unit.1.3.port.-1.s.61.alias=
unit.1.3.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.61.name=RX_DATAIN[25]
unit.1.3.port.-1.s.61.orderindex=-1
unit.1.3.port.-1.s.61.visible=1
unit.1.3.port.-1.s.62.alias=
unit.1.3.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.62.name=RX_DATAIN[26]
unit.1.3.port.-1.s.62.orderindex=-1
unit.1.3.port.-1.s.62.visible=1
unit.1.3.port.-1.s.63.alias=
unit.1.3.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.63.name=RX_DATAIN[27]
unit.1.3.port.-1.s.63.orderindex=-1
unit.1.3.port.-1.s.63.visible=1
unit.1.3.port.-1.s.64.alias=
unit.1.3.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.64.name=RX_DATAIN[28]
unit.1.3.port.-1.s.64.orderindex=-1
unit.1.3.port.-1.s.64.visible=1
unit.1.3.port.-1.s.65.alias=
unit.1.3.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.65.name=RX_DATAIN[29]
unit.1.3.port.-1.s.65.orderindex=-1
unit.1.3.port.-1.s.65.visible=1
unit.1.3.port.-1.s.66.alias=
unit.1.3.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.66.name=RX_DATAIN[30]
unit.1.3.port.-1.s.66.orderindex=-1
unit.1.3.port.-1.s.66.visible=1
unit.1.3.port.-1.s.67.alias=
unit.1.3.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.67.name=RX_DATAIN[31]
unit.1.3.port.-1.s.67.orderindex=-1
unit.1.3.port.-1.s.67.visible=1
unit.1.3.port.-1.s.68.alias=
unit.1.3.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.68.name=TX_FIFO_DOUT[0]
unit.1.3.port.-1.s.68.orderindex=-1
unit.1.3.port.-1.s.68.visible=1
unit.1.3.port.-1.s.69.alias=
unit.1.3.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.69.name=TX_FIFO_DOUT[1]
unit.1.3.port.-1.s.69.orderindex=-1
unit.1.3.port.-1.s.69.visible=1
unit.1.3.port.-1.s.7.alias=
unit.1.3.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.7.name=TX_DATAOUT[3]
unit.1.3.port.-1.s.7.orderindex=-1
unit.1.3.port.-1.s.7.visible=1
unit.1.3.port.-1.s.70.alias=
unit.1.3.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.70.name=TX_FIFO_DOUT[2]
unit.1.3.port.-1.s.70.orderindex=-1
unit.1.3.port.-1.s.70.visible=1
unit.1.3.port.-1.s.71.alias=
unit.1.3.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.71.name=TX_FIFO_DOUT[3]
unit.1.3.port.-1.s.71.orderindex=-1
unit.1.3.port.-1.s.71.visible=1
unit.1.3.port.-1.s.72.alias=
unit.1.3.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.72.name=TX_FIFO_DOUT[4]
unit.1.3.port.-1.s.72.orderindex=-1
unit.1.3.port.-1.s.72.visible=1
unit.1.3.port.-1.s.73.alias=
unit.1.3.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.73.name=TX_FIFO_DOUT[5]
unit.1.3.port.-1.s.73.orderindex=-1
unit.1.3.port.-1.s.73.visible=1
unit.1.3.port.-1.s.74.alias=
unit.1.3.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.74.name=TX_FIFO_DOUT[6]
unit.1.3.port.-1.s.74.orderindex=-1
unit.1.3.port.-1.s.74.visible=1
unit.1.3.port.-1.s.75.alias=
unit.1.3.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.75.name=TX_FIFO_DOUT[7]
unit.1.3.port.-1.s.75.orderindex=-1
unit.1.3.port.-1.s.75.visible=1
unit.1.3.port.-1.s.76.alias=
unit.1.3.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.76.name=TX_FIFO_DOUT[8]
unit.1.3.port.-1.s.76.orderindex=-1
unit.1.3.port.-1.s.76.visible=1
unit.1.3.port.-1.s.77.alias=
unit.1.3.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.77.name=TX_FIFO_DOUT[9]
unit.1.3.port.-1.s.77.orderindex=-1
unit.1.3.port.-1.s.77.visible=1
unit.1.3.port.-1.s.78.alias=
unit.1.3.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.78.name=TX_FIFO_DOUT[10]
unit.1.3.port.-1.s.78.orderindex=-1
unit.1.3.port.-1.s.78.visible=1
unit.1.3.port.-1.s.79.alias=
unit.1.3.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.79.name=TX_FIFO_DOUT[11]
unit.1.3.port.-1.s.79.orderindex=-1
unit.1.3.port.-1.s.79.visible=1
unit.1.3.port.-1.s.8.alias=
unit.1.3.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.8.name=TX_DATAOUT[4]
unit.1.3.port.-1.s.8.orderindex=-1
unit.1.3.port.-1.s.8.visible=1
unit.1.3.port.-1.s.80.alias=
unit.1.3.port.-1.s.80.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.80.name=TX_FIFO_DOUT[12]
unit.1.3.port.-1.s.80.orderindex=-1
unit.1.3.port.-1.s.80.visible=1
unit.1.3.port.-1.s.81.alias=
unit.1.3.port.-1.s.81.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.81.name=TX_FIFO_DOUT[13]
unit.1.3.port.-1.s.81.orderindex=-1
unit.1.3.port.-1.s.81.visible=1
unit.1.3.port.-1.s.82.alias=
unit.1.3.port.-1.s.82.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.82.name=TX_FIFO_DOUT[14]
unit.1.3.port.-1.s.82.orderindex=-1
unit.1.3.port.-1.s.82.visible=1
unit.1.3.port.-1.s.83.alias=
unit.1.3.port.-1.s.83.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.83.name=TX_FIFO_DOUT[15]
unit.1.3.port.-1.s.83.orderindex=-1
unit.1.3.port.-1.s.83.visible=1
unit.1.3.port.-1.s.84.alias=
unit.1.3.port.-1.s.84.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.84.name=TX_FIFO_DOUT[16]
unit.1.3.port.-1.s.84.orderindex=-1
unit.1.3.port.-1.s.84.visible=1
unit.1.3.port.-1.s.85.alias=
unit.1.3.port.-1.s.85.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.85.name=TX_FIFO_DOUT[17]
unit.1.3.port.-1.s.85.orderindex=-1
unit.1.3.port.-1.s.85.visible=1
unit.1.3.port.-1.s.86.alias=
unit.1.3.port.-1.s.86.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.86.name=TX_FIFO_DOUT[18]
unit.1.3.port.-1.s.86.orderindex=-1
unit.1.3.port.-1.s.86.visible=1
unit.1.3.port.-1.s.87.alias=
unit.1.3.port.-1.s.87.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.87.name=TX_FIFO_DOUT[19]
unit.1.3.port.-1.s.87.orderindex=-1
unit.1.3.port.-1.s.87.visible=1
unit.1.3.port.-1.s.88.alias=
unit.1.3.port.-1.s.88.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.88.name=TX_FIFO_DOUT[20]
unit.1.3.port.-1.s.88.orderindex=-1
unit.1.3.port.-1.s.88.visible=1
unit.1.3.port.-1.s.89.alias=
unit.1.3.port.-1.s.89.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.89.name=TX_FIFO_DOUT[21]
unit.1.3.port.-1.s.89.orderindex=-1
unit.1.3.port.-1.s.89.visible=1
unit.1.3.port.-1.s.9.alias=
unit.1.3.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.9.name=TX_DATAOUT[5]
unit.1.3.port.-1.s.9.orderindex=-1
unit.1.3.port.-1.s.9.visible=1
unit.1.3.port.-1.s.90.alias=
unit.1.3.port.-1.s.90.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.90.name=TX_FIFO_DOUT[22]
unit.1.3.port.-1.s.90.orderindex=-1
unit.1.3.port.-1.s.90.visible=1
unit.1.3.port.-1.s.91.alias=
unit.1.3.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.91.name=TX_FIFO_DOUT[23]
unit.1.3.port.-1.s.91.orderindex=-1
unit.1.3.port.-1.s.91.visible=1
unit.1.3.port.-1.s.92.alias=
unit.1.3.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.92.name=TX_FIFO_DOUT[24]
unit.1.3.port.-1.s.92.orderindex=-1
unit.1.3.port.-1.s.92.visible=1
unit.1.3.port.-1.s.93.alias=
unit.1.3.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.93.name=TX_FIFO_DOUT[25]
unit.1.3.port.-1.s.93.orderindex=-1
unit.1.3.port.-1.s.93.visible=1
unit.1.3.port.-1.s.94.alias=
unit.1.3.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.94.name=TX_FIFO_DOUT[26]
unit.1.3.port.-1.s.94.orderindex=-1
unit.1.3.port.-1.s.94.visible=1
unit.1.3.port.-1.s.95.alias=
unit.1.3.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.95.name=TX_FIFO_DOUT[27]
unit.1.3.port.-1.s.95.orderindex=-1
unit.1.3.port.-1.s.95.visible=1
unit.1.3.port.-1.s.96.alias=
unit.1.3.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.96.name=TX_FIFO_DOUT[28]
unit.1.3.port.-1.s.96.orderindex=-1
unit.1.3.port.-1.s.96.visible=1
unit.1.3.port.-1.s.97.alias=
unit.1.3.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.97.name=TX_FIFO_DOUT[29]
unit.1.3.port.-1.s.97.orderindex=-1
unit.1.3.port.-1.s.97.visible=1
unit.1.3.port.-1.s.98.alias=
unit.1.3.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.98.name=TX_FIFO_DOUT[30]
unit.1.3.port.-1.s.98.orderindex=-1
unit.1.3.port.-1.s.98.visible=1
unit.1.3.port.-1.s.99.alias=
unit.1.3.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.-1.s.99.name=TX_FIFO_DOUT[31]
unit.1.3.port.-1.s.99.orderindex=-1
unit.1.3.port.-1.s.99.visible=1
unit.1.3.port.0.b.0.alias=
unit.1.3.port.0.b.0.channellist=0 1 2 3
unit.1.3.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.0.b.0.name=TX_FRAME_VALUE
unit.1.3.port.0.b.0.orderindex=-1
unit.1.3.port.0.b.0.radix=Hex
unit.1.3.port.0.b.0.signedOffset=0.0
unit.1.3.port.0.b.0.signedPrecision=0
unit.1.3.port.0.b.0.signedScaleFactor=1.0
unit.1.3.port.0.b.0.unsignedOffset=0.0
unit.1.3.port.0.b.0.unsignedPrecision=0
unit.1.3.port.0.b.0.unsignedScaleFactor=1.0
unit.1.3.port.0.b.0.visible=1
unit.1.3.port.0.buscount=1
unit.1.3.port.0.channelcount=4
unit.1.3.port.0.s.0.alias=
unit.1.3.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.0.s.0.name=TX_FRAME_VALUE[0]
unit.1.3.port.0.s.0.orderindex=-1
unit.1.3.port.0.s.0.visible=1
unit.1.3.port.0.s.1.alias=
unit.1.3.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.0.s.1.name=TX_FRAME_VALUE[1]
unit.1.3.port.0.s.1.orderindex=-1
unit.1.3.port.0.s.1.visible=1
unit.1.3.port.0.s.2.alias=
unit.1.3.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.0.s.2.name=TX_FRAME_VALUE[2]
unit.1.3.port.0.s.2.orderindex=-1
unit.1.3.port.0.s.2.visible=1
unit.1.3.port.0.s.3.alias=
unit.1.3.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.0.s.3.name=TX_FRAME_VALUE[3]
unit.1.3.port.0.s.3.orderindex=-1
unit.1.3.port.0.s.3.visible=1
unit.1.3.port.1.b.0.alias=
unit.1.3.port.1.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.3.port.1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.b.0.name=TX_DATAOUT
unit.1.3.port.1.b.0.orderindex=-1
unit.1.3.port.1.b.0.radix=Hex
unit.1.3.port.1.b.0.signedOffset=0.0
unit.1.3.port.1.b.0.signedPrecision=0
unit.1.3.port.1.b.0.signedScaleFactor=1.0
unit.1.3.port.1.b.0.unsignedOffset=0.0
unit.1.3.port.1.b.0.unsignedPrecision=0
unit.1.3.port.1.b.0.unsignedScaleFactor=1.0
unit.1.3.port.1.b.0.visible=1
unit.1.3.port.1.buscount=1
unit.1.3.port.1.channelcount=32
unit.1.3.port.1.s.0.alias=
unit.1.3.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.0.name=TX_DATAOUT[0]
unit.1.3.port.1.s.0.orderindex=-1
unit.1.3.port.1.s.0.visible=1
unit.1.3.port.1.s.1.alias=
unit.1.3.port.1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.1.name=TX_DATAOUT[1]
unit.1.3.port.1.s.1.orderindex=-1
unit.1.3.port.1.s.1.visible=1
unit.1.3.port.1.s.10.alias=
unit.1.3.port.1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.10.name=TX_DATAOUT[10]
unit.1.3.port.1.s.10.orderindex=-1
unit.1.3.port.1.s.10.visible=1
unit.1.3.port.1.s.11.alias=
unit.1.3.port.1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.11.name=TX_DATAOUT[11]
unit.1.3.port.1.s.11.orderindex=-1
unit.1.3.port.1.s.11.visible=1
unit.1.3.port.1.s.12.alias=
unit.1.3.port.1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.12.name=TX_DATAOUT[12]
unit.1.3.port.1.s.12.orderindex=-1
unit.1.3.port.1.s.12.visible=1
unit.1.3.port.1.s.13.alias=
unit.1.3.port.1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.13.name=TX_DATAOUT[13]
unit.1.3.port.1.s.13.orderindex=-1
unit.1.3.port.1.s.13.visible=1
unit.1.3.port.1.s.14.alias=
unit.1.3.port.1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.14.name=TX_DATAOUT[14]
unit.1.3.port.1.s.14.orderindex=-1
unit.1.3.port.1.s.14.visible=1
unit.1.3.port.1.s.15.alias=
unit.1.3.port.1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.15.name=TX_DATAOUT[15]
unit.1.3.port.1.s.15.orderindex=-1
unit.1.3.port.1.s.15.visible=1
unit.1.3.port.1.s.16.alias=
unit.1.3.port.1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.16.name=TX_DATAOUT[16]
unit.1.3.port.1.s.16.orderindex=-1
unit.1.3.port.1.s.16.visible=1
unit.1.3.port.1.s.17.alias=
unit.1.3.port.1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.17.name=TX_DATAOUT[17]
unit.1.3.port.1.s.17.orderindex=-1
unit.1.3.port.1.s.17.visible=1
unit.1.3.port.1.s.18.alias=
unit.1.3.port.1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.18.name=TX_DATAOUT[18]
unit.1.3.port.1.s.18.orderindex=-1
unit.1.3.port.1.s.18.visible=1
unit.1.3.port.1.s.19.alias=
unit.1.3.port.1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.19.name=TX_DATAOUT[19]
unit.1.3.port.1.s.19.orderindex=-1
unit.1.3.port.1.s.19.visible=1
unit.1.3.port.1.s.2.alias=
unit.1.3.port.1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.2.name=TX_DATAOUT[2]
unit.1.3.port.1.s.2.orderindex=-1
unit.1.3.port.1.s.2.visible=1
unit.1.3.port.1.s.20.alias=
unit.1.3.port.1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.20.name=TX_DATAOUT[20]
unit.1.3.port.1.s.20.orderindex=-1
unit.1.3.port.1.s.20.visible=1
unit.1.3.port.1.s.21.alias=
unit.1.3.port.1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.21.name=TX_DATAOUT[21]
unit.1.3.port.1.s.21.orderindex=-1
unit.1.3.port.1.s.21.visible=1
unit.1.3.port.1.s.22.alias=
unit.1.3.port.1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.22.name=TX_DATAOUT[22]
unit.1.3.port.1.s.22.orderindex=-1
unit.1.3.port.1.s.22.visible=1
unit.1.3.port.1.s.23.alias=
unit.1.3.port.1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.23.name=TX_DATAOUT[23]
unit.1.3.port.1.s.23.orderindex=-1
unit.1.3.port.1.s.23.visible=1
unit.1.3.port.1.s.24.alias=
unit.1.3.port.1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.24.name=TX_DATAOUT[24]
unit.1.3.port.1.s.24.orderindex=-1
unit.1.3.port.1.s.24.visible=1
unit.1.3.port.1.s.25.alias=
unit.1.3.port.1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.25.name=TX_DATAOUT[25]
unit.1.3.port.1.s.25.orderindex=-1
unit.1.3.port.1.s.25.visible=1
unit.1.3.port.1.s.26.alias=
unit.1.3.port.1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.26.name=TX_DATAOUT[26]
unit.1.3.port.1.s.26.orderindex=-1
unit.1.3.port.1.s.26.visible=1
unit.1.3.port.1.s.27.alias=
unit.1.3.port.1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.27.name=TX_DATAOUT[27]
unit.1.3.port.1.s.27.orderindex=-1
unit.1.3.port.1.s.27.visible=1
unit.1.3.port.1.s.28.alias=
unit.1.3.port.1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.28.name=TX_DATAOUT[28]
unit.1.3.port.1.s.28.orderindex=-1
unit.1.3.port.1.s.28.visible=1
unit.1.3.port.1.s.29.alias=
unit.1.3.port.1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.29.name=TX_DATAOUT[29]
unit.1.3.port.1.s.29.orderindex=-1
unit.1.3.port.1.s.29.visible=1
unit.1.3.port.1.s.3.alias=
unit.1.3.port.1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.3.name=TX_DATAOUT[3]
unit.1.3.port.1.s.3.orderindex=-1
unit.1.3.port.1.s.3.visible=1
unit.1.3.port.1.s.30.alias=
unit.1.3.port.1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.30.name=TX_DATAOUT[30]
unit.1.3.port.1.s.30.orderindex=-1
unit.1.3.port.1.s.30.visible=1
unit.1.3.port.1.s.31.alias=
unit.1.3.port.1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.31.name=TX_DATAOUT[31]
unit.1.3.port.1.s.31.orderindex=-1
unit.1.3.port.1.s.31.visible=1
unit.1.3.port.1.s.4.alias=
unit.1.3.port.1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.4.name=TX_DATAOUT[4]
unit.1.3.port.1.s.4.orderindex=-1
unit.1.3.port.1.s.4.visible=1
unit.1.3.port.1.s.5.alias=
unit.1.3.port.1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.5.name=TX_DATAOUT[5]
unit.1.3.port.1.s.5.orderindex=-1
unit.1.3.port.1.s.5.visible=1
unit.1.3.port.1.s.6.alias=
unit.1.3.port.1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.6.name=TX_DATAOUT[6]
unit.1.3.port.1.s.6.orderindex=-1
unit.1.3.port.1.s.6.visible=1
unit.1.3.port.1.s.7.alias=
unit.1.3.port.1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.7.name=TX_DATAOUT[7]
unit.1.3.port.1.s.7.orderindex=-1
unit.1.3.port.1.s.7.visible=1
unit.1.3.port.1.s.8.alias=
unit.1.3.port.1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.8.name=TX_DATAOUT[8]
unit.1.3.port.1.s.8.orderindex=-1
unit.1.3.port.1.s.8.visible=1
unit.1.3.port.1.s.9.alias=
unit.1.3.port.1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.1.s.9.name=TX_DATAOUT[9]
unit.1.3.port.1.s.9.orderindex=-1
unit.1.3.port.1.s.9.visible=1
unit.1.3.port.10.b.0.alias=
unit.1.3.port.10.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.3.port.10.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.b.0.name=TRIG10
unit.1.3.port.10.b.0.orderindex=-1
unit.1.3.port.10.b.0.radix=Hex
unit.1.3.port.10.b.0.signedOffset=0.0
unit.1.3.port.10.b.0.signedPrecision=0
unit.1.3.port.10.b.0.signedScaleFactor=1.0
unit.1.3.port.10.b.0.unsignedOffset=0.0
unit.1.3.port.10.b.0.unsignedPrecision=0
unit.1.3.port.10.b.0.unsignedScaleFactor=1.0
unit.1.3.port.10.b.0.visible=1
unit.1.3.port.10.buscount=1
unit.1.3.port.10.channelcount=32
unit.1.3.port.10.s.0.alias=
unit.1.3.port.10.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.0.name=TX_FIFO_WE
unit.1.3.port.10.s.0.orderindex=-1
unit.1.3.port.10.s.0.visible=1
unit.1.3.port.10.s.1.alias=
unit.1.3.port.10.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.1.name=TX_FIFO_RE
unit.1.3.port.10.s.1.orderindex=-1
unit.1.3.port.10.s.1.visible=1
unit.1.3.port.10.s.10.alias=
unit.1.3.port.10.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.10.name=TX_DONE
unit.1.3.port.10.s.10.orderindex=-1
unit.1.3.port.10.s.10.visible=1
unit.1.3.port.10.s.11.alias=TX_FIFO_ALMOST_EMPTY
unit.1.3.port.10.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.11.name=RX_TX_STATE_SEL
unit.1.3.port.10.s.11.orderindex=-1
unit.1.3.port.10.s.11.visible=1
unit.1.3.port.10.s.12.alias=
unit.1.3.port.10.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.12.name=TX_CHARISK_OUT
unit.1.3.port.10.s.12.orderindex=-1
unit.1.3.port.10.s.12.visible=1
unit.1.3.port.10.s.13.alias=
unit.1.3.port.10.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.13.name=TX_FIFO_EMPTY
unit.1.3.port.10.s.13.orderindex=-1
unit.1.3.port.10.s.13.visible=1
unit.1.3.port.10.s.14.alias=
unit.1.3.port.10.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.14.name=SCRAMBLER_DIN_RE
unit.1.3.port.10.s.14.orderindex=-1
unit.1.3.port.10.s.14.visible=1
unit.1.3.port.10.s.15.alias=
unit.1.3.port.10.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.15.name=ALIGN_DET
unit.1.3.port.10.s.15.orderindex=-1
unit.1.3.port.10.s.15.visible=1
unit.1.3.port.10.s.16.alias=
unit.1.3.port.10.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.16.name=HOLD_START_DET
unit.1.3.port.10.s.16.orderindex=-1
unit.1.3.port.10.s.16.visible=1
unit.1.3.port.10.s.17.alias=
unit.1.3.port.10.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.17.name=HOLD_STOP_DET
unit.1.3.port.10.s.17.orderindex=-1
unit.1.3.port.10.s.17.visible=1
unit.1.3.port.10.s.18.alias=
unit.1.3.port.10.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.18.name=CONT_DET
unit.1.3.port.10.s.18.orderindex=-1
unit.1.3.port.10.s.18.visible=1
unit.1.3.port.10.s.19.alias=
unit.1.3.port.10.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.19.name=WRITE_FIFO_PROG_FULL
unit.1.3.port.10.s.19.orderindex=-1
unit.1.3.port.10.s.19.visible=1
unit.1.3.port.10.s.2.alias=
unit.1.3.port.10.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.2.name=TX_FIFO_FULL
unit.1.3.port.10.s.2.orderindex=-1
unit.1.3.port.10.s.2.visible=1
unit.1.3.port.10.s.20.alias=SCRAMBLER_RESET_AFTER_NCQ
unit.1.3.port.10.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.20.name=TX_ERR
unit.1.3.port.10.s.20.orderindex=-1
unit.1.3.port.10.s.20.visible=1
unit.1.3.port.10.s.21.alias=WRITE_FIFO_ALMOST_EMPTY
unit.1.3.port.10.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.21.name=FRAME_ERR
unit.1.3.port.10.s.21.orderindex=-1
unit.1.3.port.10.s.21.visible=1
unit.1.3.port.10.s.22.alias=
unit.1.3.port.10.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.22.name=NEW_CMD
unit.1.3.port.10.s.22.orderindex=-1
unit.1.3.port.10.s.22.visible=1
unit.1.3.port.10.s.23.alias=
unit.1.3.port.10.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.23.name=SCRAMBLER_RESET_AFTER_FIS
unit.1.3.port.10.s.23.orderindex=-1
unit.1.3.port.10.s.23.visible=1
unit.1.3.port.10.s.24.alias=
unit.1.3.port.10.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.24.name=WRITE_FIFO_WE
unit.1.3.port.10.s.24.orderindex=-1
unit.1.3.port.10.s.24.visible=1
unit.1.3.port.10.s.25.alias=
unit.1.3.port.10.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.25.name=WRITE_FIFO_RE
unit.1.3.port.10.s.25.orderindex=-1
unit.1.3.port.10.s.25.visible=1
unit.1.3.port.10.s.26.alias=
unit.1.3.port.10.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.26.name=WRITE_FIFO_EMPTY
unit.1.3.port.10.s.26.orderindex=-1
unit.1.3.port.10.s.26.visible=1
unit.1.3.port.10.s.27.alias=
unit.1.3.port.10.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.27.name=SCRAMBLER_EN
unit.1.3.port.10.s.27.orderindex=-1
unit.1.3.port.10.s.27.visible=1
unit.1.3.port.10.s.28.alias=
unit.1.3.port.10.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.28.name=TX_FIFO_PROG_FULL
unit.1.3.port.10.s.28.orderindex=-1
unit.1.3.port.10.s.28.visible=1
unit.1.3.port.10.s.29.alias=
unit.1.3.port.10.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.29.name=SCRAMBLER_COUNT_EN_DATA_FIS
unit.1.3.port.10.s.29.orderindex=-1
unit.1.3.port.10.s.29.visible=1
unit.1.3.port.10.s.3.alias=
unit.1.3.port.10.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.3.name=ALIGN_EN_OUT
unit.1.3.port.10.s.3.orderindex=-1
unit.1.3.port.10.s.3.visible=1
unit.1.3.port.10.s.30.alias=
unit.1.3.port.10.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.30.name=SCRAMBLER_RESET
unit.1.3.port.10.s.30.orderindex=-1
unit.1.3.port.10.s.30.visible=1
unit.1.3.port.10.s.31.alias=
unit.1.3.port.10.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.31.name=CRC_EN
unit.1.3.port.10.s.31.orderindex=-1
unit.1.3.port.10.s.31.visible=1
unit.1.3.port.10.s.4.alias=
unit.1.3.port.10.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.4.name=SYNC_DET
unit.1.3.port.10.s.4.orderindex=-1
unit.1.3.port.10.s.4.visible=1
unit.1.3.port.10.s.5.alias=
unit.1.3.port.10.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.5.name=R_RDY_DET
unit.1.3.port.10.s.5.orderindex=-1
unit.1.3.port.10.s.5.visible=1
unit.1.3.port.10.s.6.alias=
unit.1.3.port.10.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.6.name=R_IP_DET
unit.1.3.port.10.s.6.orderindex=-1
unit.1.3.port.10.s.6.visible=1
unit.1.3.port.10.s.7.alias=
unit.1.3.port.10.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.7.name=R_OK_DET
unit.1.3.port.10.s.7.orderindex=-1
unit.1.3.port.10.s.7.visible=1
unit.1.3.port.10.s.8.alias=
unit.1.3.port.10.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.8.name=R_ERR_DET
unit.1.3.port.10.s.8.orderindex=-1
unit.1.3.port.10.s.8.visible=1
unit.1.3.port.10.s.9.alias=
unit.1.3.port.10.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.10.s.9.name=START_TX
unit.1.3.port.10.s.9.orderindex=-1
unit.1.3.port.10.s.9.visible=1
unit.1.3.port.11.b.0.alias=
unit.1.3.port.11.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.3.port.11.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.b.0.name=SCRAMBLER_DIN
unit.1.3.port.11.b.0.orderindex=-1
unit.1.3.port.11.b.0.radix=Hex
unit.1.3.port.11.b.0.signedOffset=0.0
unit.1.3.port.11.b.0.signedPrecision=0
unit.1.3.port.11.b.0.signedScaleFactor=1.0
unit.1.3.port.11.b.0.unsignedOffset=0.0
unit.1.3.port.11.b.0.unsignedPrecision=0
unit.1.3.port.11.b.0.unsignedScaleFactor=1.0
unit.1.3.port.11.b.0.visible=1
unit.1.3.port.11.buscount=1
unit.1.3.port.11.channelcount=32
unit.1.3.port.11.s.0.alias=
unit.1.3.port.11.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.0.name=SCRAMBLER_DIN[0]
unit.1.3.port.11.s.0.orderindex=-1
unit.1.3.port.11.s.0.visible=1
unit.1.3.port.11.s.1.alias=
unit.1.3.port.11.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.1.name=SCRAMBLER_DIN[1]
unit.1.3.port.11.s.1.orderindex=-1
unit.1.3.port.11.s.1.visible=1
unit.1.3.port.11.s.10.alias=
unit.1.3.port.11.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.10.name=SCRAMBLER_DIN[10]
unit.1.3.port.11.s.10.orderindex=-1
unit.1.3.port.11.s.10.visible=1
unit.1.3.port.11.s.11.alias=
unit.1.3.port.11.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.11.name=SCRAMBLER_DIN[11]
unit.1.3.port.11.s.11.orderindex=-1
unit.1.3.port.11.s.11.visible=1
unit.1.3.port.11.s.12.alias=
unit.1.3.port.11.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.12.name=SCRAMBLER_DIN[12]
unit.1.3.port.11.s.12.orderindex=-1
unit.1.3.port.11.s.12.visible=1
unit.1.3.port.11.s.13.alias=
unit.1.3.port.11.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.13.name=SCRAMBLER_DIN[13]
unit.1.3.port.11.s.13.orderindex=-1
unit.1.3.port.11.s.13.visible=1
unit.1.3.port.11.s.14.alias=
unit.1.3.port.11.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.14.name=SCRAMBLER_DIN[14]
unit.1.3.port.11.s.14.orderindex=-1
unit.1.3.port.11.s.14.visible=1
unit.1.3.port.11.s.15.alias=
unit.1.3.port.11.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.15.name=SCRAMBLER_DIN[15]
unit.1.3.port.11.s.15.orderindex=-1
unit.1.3.port.11.s.15.visible=1
unit.1.3.port.11.s.16.alias=
unit.1.3.port.11.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.16.name=SCRAMBLER_DIN[16]
unit.1.3.port.11.s.16.orderindex=-1
unit.1.3.port.11.s.16.visible=1
unit.1.3.port.11.s.17.alias=
unit.1.3.port.11.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.17.name=SCRAMBLER_DIN[17]
unit.1.3.port.11.s.17.orderindex=-1
unit.1.3.port.11.s.17.visible=1
unit.1.3.port.11.s.18.alias=
unit.1.3.port.11.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.18.name=SCRAMBLER_DIN[18]
unit.1.3.port.11.s.18.orderindex=-1
unit.1.3.port.11.s.18.visible=1
unit.1.3.port.11.s.19.alias=
unit.1.3.port.11.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.19.name=SCRAMBLER_DIN[19]
unit.1.3.port.11.s.19.orderindex=-1
unit.1.3.port.11.s.19.visible=1
unit.1.3.port.11.s.2.alias=
unit.1.3.port.11.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.2.name=SCRAMBLER_DIN[2]
unit.1.3.port.11.s.2.orderindex=-1
unit.1.3.port.11.s.2.visible=1
unit.1.3.port.11.s.20.alias=
unit.1.3.port.11.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.20.name=SCRAMBLER_DIN[20]
unit.1.3.port.11.s.20.orderindex=-1
unit.1.3.port.11.s.20.visible=1
unit.1.3.port.11.s.21.alias=
unit.1.3.port.11.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.21.name=SCRAMBLER_DIN[21]
unit.1.3.port.11.s.21.orderindex=-1
unit.1.3.port.11.s.21.visible=1
unit.1.3.port.11.s.22.alias=
unit.1.3.port.11.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.22.name=SCRAMBLER_DIN[22]
unit.1.3.port.11.s.22.orderindex=-1
unit.1.3.port.11.s.22.visible=1
unit.1.3.port.11.s.23.alias=
unit.1.3.port.11.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.23.name=SCRAMBLER_DIN[23]
unit.1.3.port.11.s.23.orderindex=-1
unit.1.3.port.11.s.23.visible=1
unit.1.3.port.11.s.24.alias=
unit.1.3.port.11.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.24.name=SCRAMBLER_DIN[24]
unit.1.3.port.11.s.24.orderindex=-1
unit.1.3.port.11.s.24.visible=1
unit.1.3.port.11.s.25.alias=
unit.1.3.port.11.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.25.name=SCRAMBLER_DIN[25]
unit.1.3.port.11.s.25.orderindex=-1
unit.1.3.port.11.s.25.visible=1
unit.1.3.port.11.s.26.alias=
unit.1.3.port.11.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.26.name=SCRAMBLER_DIN[26]
unit.1.3.port.11.s.26.orderindex=-1
unit.1.3.port.11.s.26.visible=1
unit.1.3.port.11.s.27.alias=
unit.1.3.port.11.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.27.name=SCRAMBLER_DIN[27]
unit.1.3.port.11.s.27.orderindex=-1
unit.1.3.port.11.s.27.visible=1
unit.1.3.port.11.s.28.alias=
unit.1.3.port.11.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.28.name=SCRAMBLER_DIN[28]
unit.1.3.port.11.s.28.orderindex=-1
unit.1.3.port.11.s.28.visible=1
unit.1.3.port.11.s.29.alias=
unit.1.3.port.11.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.29.name=SCRAMBLER_DIN[29]
unit.1.3.port.11.s.29.orderindex=-1
unit.1.3.port.11.s.29.visible=1
unit.1.3.port.11.s.3.alias=
unit.1.3.port.11.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.3.name=SCRAMBLER_DIN[3]
unit.1.3.port.11.s.3.orderindex=-1
unit.1.3.port.11.s.3.visible=1
unit.1.3.port.11.s.30.alias=
unit.1.3.port.11.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.30.name=SCRAMBLER_DIN[30]
unit.1.3.port.11.s.30.orderindex=-1
unit.1.3.port.11.s.30.visible=1
unit.1.3.port.11.s.31.alias=
unit.1.3.port.11.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.31.name=SCRAMBLER_DIN[31]
unit.1.3.port.11.s.31.orderindex=-1
unit.1.3.port.11.s.31.visible=1
unit.1.3.port.11.s.4.alias=
unit.1.3.port.11.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.4.name=SCRAMBLER_DIN[4]
unit.1.3.port.11.s.4.orderindex=-1
unit.1.3.port.11.s.4.visible=1
unit.1.3.port.11.s.5.alias=
unit.1.3.port.11.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.5.name=SCRAMBLER_DIN[5]
unit.1.3.port.11.s.5.orderindex=-1
unit.1.3.port.11.s.5.visible=1
unit.1.3.port.11.s.6.alias=
unit.1.3.port.11.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.6.name=SCRAMBLER_DIN[6]
unit.1.3.port.11.s.6.orderindex=-1
unit.1.3.port.11.s.6.visible=1
unit.1.3.port.11.s.7.alias=
unit.1.3.port.11.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.7.name=SCRAMBLER_DIN[7]
unit.1.3.port.11.s.7.orderindex=-1
unit.1.3.port.11.s.7.visible=1
unit.1.3.port.11.s.8.alias=
unit.1.3.port.11.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.8.name=SCRAMBLER_DIN[8]
unit.1.3.port.11.s.8.orderindex=-1
unit.1.3.port.11.s.8.visible=1
unit.1.3.port.11.s.9.alias=
unit.1.3.port.11.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.11.s.9.name=SCRAMBLER_DIN[9]
unit.1.3.port.11.s.9.orderindex=-1
unit.1.3.port.11.s.9.visible=1
unit.1.3.port.12.b.0.alias=
unit.1.3.port.12.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.3.port.12.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.b.0.name=CRC_DOUT
unit.1.3.port.12.b.0.orderindex=-1
unit.1.3.port.12.b.0.radix=Hex
unit.1.3.port.12.b.0.signedOffset=0.0
unit.1.3.port.12.b.0.signedPrecision=0
unit.1.3.port.12.b.0.signedScaleFactor=1.0
unit.1.3.port.12.b.0.unsignedOffset=0.0
unit.1.3.port.12.b.0.unsignedPrecision=0
unit.1.3.port.12.b.0.unsignedScaleFactor=1.0
unit.1.3.port.12.b.0.visible=1
unit.1.3.port.12.buscount=1
unit.1.3.port.12.channelcount=32
unit.1.3.port.12.s.0.alias=
unit.1.3.port.12.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.0.name=CRC_DOUT[0]
unit.1.3.port.12.s.0.orderindex=-1
unit.1.3.port.12.s.0.visible=1
unit.1.3.port.12.s.1.alias=
unit.1.3.port.12.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.1.name=CRC_DOUT[1]
unit.1.3.port.12.s.1.orderindex=-1
unit.1.3.port.12.s.1.visible=1
unit.1.3.port.12.s.10.alias=
unit.1.3.port.12.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.10.name=CRC_DOUT[10]
unit.1.3.port.12.s.10.orderindex=-1
unit.1.3.port.12.s.10.visible=1
unit.1.3.port.12.s.11.alias=
unit.1.3.port.12.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.11.name=CRC_DOUT[11]
unit.1.3.port.12.s.11.orderindex=-1
unit.1.3.port.12.s.11.visible=1
unit.1.3.port.12.s.12.alias=
unit.1.3.port.12.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.12.name=CRC_DOUT[12]
unit.1.3.port.12.s.12.orderindex=-1
unit.1.3.port.12.s.12.visible=1
unit.1.3.port.12.s.13.alias=
unit.1.3.port.12.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.13.name=CRC_DOUT[13]
unit.1.3.port.12.s.13.orderindex=-1
unit.1.3.port.12.s.13.visible=1
unit.1.3.port.12.s.14.alias=
unit.1.3.port.12.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.14.name=CRC_DOUT[14]
unit.1.3.port.12.s.14.orderindex=-1
unit.1.3.port.12.s.14.visible=1
unit.1.3.port.12.s.15.alias=
unit.1.3.port.12.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.15.name=CRC_DOUT[15]
unit.1.3.port.12.s.15.orderindex=-1
unit.1.3.port.12.s.15.visible=1
unit.1.3.port.12.s.16.alias=
unit.1.3.port.12.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.16.name=CRC_DOUT[16]
unit.1.3.port.12.s.16.orderindex=-1
unit.1.3.port.12.s.16.visible=1
unit.1.3.port.12.s.17.alias=
unit.1.3.port.12.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.17.name=CRC_DOUT[17]
unit.1.3.port.12.s.17.orderindex=-1
unit.1.3.port.12.s.17.visible=1
unit.1.3.port.12.s.18.alias=
unit.1.3.port.12.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.18.name=CRC_DOUT[18]
unit.1.3.port.12.s.18.orderindex=-1
unit.1.3.port.12.s.18.visible=1
unit.1.3.port.12.s.19.alias=
unit.1.3.port.12.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.19.name=CRC_DOUT[19]
unit.1.3.port.12.s.19.orderindex=-1
unit.1.3.port.12.s.19.visible=1
unit.1.3.port.12.s.2.alias=
unit.1.3.port.12.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.2.name=CRC_DOUT[2]
unit.1.3.port.12.s.2.orderindex=-1
unit.1.3.port.12.s.2.visible=1
unit.1.3.port.12.s.20.alias=
unit.1.3.port.12.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.20.name=CRC_DOUT[20]
unit.1.3.port.12.s.20.orderindex=-1
unit.1.3.port.12.s.20.visible=1
unit.1.3.port.12.s.21.alias=
unit.1.3.port.12.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.21.name=CRC_DOUT[21]
unit.1.3.port.12.s.21.orderindex=-1
unit.1.3.port.12.s.21.visible=1
unit.1.3.port.12.s.22.alias=
unit.1.3.port.12.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.22.name=CRC_DOUT[22]
unit.1.3.port.12.s.22.orderindex=-1
unit.1.3.port.12.s.22.visible=1
unit.1.3.port.12.s.23.alias=
unit.1.3.port.12.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.23.name=CRC_DOUT[23]
unit.1.3.port.12.s.23.orderindex=-1
unit.1.3.port.12.s.23.visible=1
unit.1.3.port.12.s.24.alias=
unit.1.3.port.12.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.24.name=CRC_DOUT[24]
unit.1.3.port.12.s.24.orderindex=-1
unit.1.3.port.12.s.24.visible=1
unit.1.3.port.12.s.25.alias=
unit.1.3.port.12.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.25.name=CRC_DOUT[25]
unit.1.3.port.12.s.25.orderindex=-1
unit.1.3.port.12.s.25.visible=1
unit.1.3.port.12.s.26.alias=
unit.1.3.port.12.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.26.name=CRC_DOUT[26]
unit.1.3.port.12.s.26.orderindex=-1
unit.1.3.port.12.s.26.visible=1
unit.1.3.port.12.s.27.alias=
unit.1.3.port.12.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.27.name=CRC_DOUT[27]
unit.1.3.port.12.s.27.orderindex=-1
unit.1.3.port.12.s.27.visible=1
unit.1.3.port.12.s.28.alias=
unit.1.3.port.12.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.28.name=CRC_DOUT[28]
unit.1.3.port.12.s.28.orderindex=-1
unit.1.3.port.12.s.28.visible=1
unit.1.3.port.12.s.29.alias=
unit.1.3.port.12.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.29.name=CRC_DOUT[29]
unit.1.3.port.12.s.29.orderindex=-1
unit.1.3.port.12.s.29.visible=1
unit.1.3.port.12.s.3.alias=
unit.1.3.port.12.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.3.name=CRC_DOUT[3]
unit.1.3.port.12.s.3.orderindex=-1
unit.1.3.port.12.s.3.visible=1
unit.1.3.port.12.s.30.alias=
unit.1.3.port.12.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.30.name=CRC_DOUT[30]
unit.1.3.port.12.s.30.orderindex=-1
unit.1.3.port.12.s.30.visible=1
unit.1.3.port.12.s.31.alias=
unit.1.3.port.12.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.31.name=CRC_DOUT[31]
unit.1.3.port.12.s.31.orderindex=-1
unit.1.3.port.12.s.31.visible=1
unit.1.3.port.12.s.4.alias=
unit.1.3.port.12.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.4.name=CRC_DOUT[4]
unit.1.3.port.12.s.4.orderindex=-1
unit.1.3.port.12.s.4.visible=1
unit.1.3.port.12.s.5.alias=
unit.1.3.port.12.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.5.name=CRC_DOUT[5]
unit.1.3.port.12.s.5.orderindex=-1
unit.1.3.port.12.s.5.visible=1
unit.1.3.port.12.s.6.alias=
unit.1.3.port.12.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.6.name=CRC_DOUT[6]
unit.1.3.port.12.s.6.orderindex=-1
unit.1.3.port.12.s.6.visible=1
unit.1.3.port.12.s.7.alias=
unit.1.3.port.12.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.7.name=CRC_DOUT[7]
unit.1.3.port.12.s.7.orderindex=-1
unit.1.3.port.12.s.7.visible=1
unit.1.3.port.12.s.8.alias=
unit.1.3.port.12.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.8.name=CRC_DOUT[8]
unit.1.3.port.12.s.8.orderindex=-1
unit.1.3.port.12.s.8.visible=1
unit.1.3.port.12.s.9.alias=
unit.1.3.port.12.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.12.s.9.name=CRC_DOUT[9]
unit.1.3.port.12.s.9.orderindex=-1
unit.1.3.port.12.s.9.visible=1
unit.1.3.port.13.b.0.alias=
unit.1.3.port.13.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.3.port.13.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.b.0.name=TX_SECTOR_COUNT
unit.1.3.port.13.b.0.orderindex=-1
unit.1.3.port.13.b.0.radix=Hex
unit.1.3.port.13.b.0.signedOffset=0.0
unit.1.3.port.13.b.0.signedPrecision=0
unit.1.3.port.13.b.0.signedScaleFactor=1.0
unit.1.3.port.13.b.0.unsignedOffset=0.0
unit.1.3.port.13.b.0.unsignedPrecision=0
unit.1.3.port.13.b.0.unsignedScaleFactor=1.0
unit.1.3.port.13.b.0.visible=1
unit.1.3.port.13.buscount=1
unit.1.3.port.13.channelcount=16
unit.1.3.port.13.s.0.alias=
unit.1.3.port.13.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.0.name=TX_SECTOR_COUNT[0]
unit.1.3.port.13.s.0.orderindex=-1
unit.1.3.port.13.s.0.visible=1
unit.1.3.port.13.s.1.alias=
unit.1.3.port.13.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.1.name=TX_SECTOR_COUNT[1]
unit.1.3.port.13.s.1.orderindex=-1
unit.1.3.port.13.s.1.visible=1
unit.1.3.port.13.s.10.alias=
unit.1.3.port.13.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.10.name=TX_SECTOR_COUNT[10]
unit.1.3.port.13.s.10.orderindex=-1
unit.1.3.port.13.s.10.visible=1
unit.1.3.port.13.s.11.alias=
unit.1.3.port.13.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.11.name=TX_SECTOR_COUNT[11]
unit.1.3.port.13.s.11.orderindex=-1
unit.1.3.port.13.s.11.visible=1
unit.1.3.port.13.s.12.alias=
unit.1.3.port.13.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.12.name=TX_SECTOR_COUNT[12]
unit.1.3.port.13.s.12.orderindex=-1
unit.1.3.port.13.s.12.visible=1
unit.1.3.port.13.s.13.alias=
unit.1.3.port.13.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.13.name=TX_SECTOR_COUNT[13]
unit.1.3.port.13.s.13.orderindex=-1
unit.1.3.port.13.s.13.visible=1
unit.1.3.port.13.s.14.alias=
unit.1.3.port.13.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.14.name=TX_SECTOR_COUNT[14]
unit.1.3.port.13.s.14.orderindex=-1
unit.1.3.port.13.s.14.visible=1
unit.1.3.port.13.s.15.alias=
unit.1.3.port.13.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.15.name=TX_SECTOR_COUNT[15]
unit.1.3.port.13.s.15.orderindex=-1
unit.1.3.port.13.s.15.visible=1
unit.1.3.port.13.s.2.alias=
unit.1.3.port.13.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.2.name=TX_SECTOR_COUNT[2]
unit.1.3.port.13.s.2.orderindex=-1
unit.1.3.port.13.s.2.visible=1
unit.1.3.port.13.s.3.alias=
unit.1.3.port.13.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.3.name=TX_SECTOR_COUNT[3]
unit.1.3.port.13.s.3.orderindex=-1
unit.1.3.port.13.s.3.visible=1
unit.1.3.port.13.s.4.alias=
unit.1.3.port.13.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.4.name=TX_SECTOR_COUNT[4]
unit.1.3.port.13.s.4.orderindex=-1
unit.1.3.port.13.s.4.visible=1
unit.1.3.port.13.s.5.alias=
unit.1.3.port.13.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.5.name=TX_SECTOR_COUNT[5]
unit.1.3.port.13.s.5.orderindex=-1
unit.1.3.port.13.s.5.visible=1
unit.1.3.port.13.s.6.alias=
unit.1.3.port.13.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.6.name=TX_SECTOR_COUNT[6]
unit.1.3.port.13.s.6.orderindex=-1
unit.1.3.port.13.s.6.visible=1
unit.1.3.port.13.s.7.alias=
unit.1.3.port.13.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.7.name=TX_SECTOR_COUNT[7]
unit.1.3.port.13.s.7.orderindex=-1
unit.1.3.port.13.s.7.visible=1
unit.1.3.port.13.s.8.alias=
unit.1.3.port.13.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.8.name=TX_SECTOR_COUNT[8]
unit.1.3.port.13.s.8.orderindex=-1
unit.1.3.port.13.s.8.visible=1
unit.1.3.port.13.s.9.alias=
unit.1.3.port.13.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.13.s.9.name=TX_SECTOR_COUNT[9]
unit.1.3.port.13.s.9.orderindex=-1
unit.1.3.port.13.s.9.visible=1
unit.1.3.port.14.b.0.alias=
unit.1.3.port.14.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.3.port.14.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.b.0.name=SCRAMBLER_COUNT_VALUE
unit.1.3.port.14.b.0.orderindex=-1
unit.1.3.port.14.b.0.radix=Hex
unit.1.3.port.14.b.0.signedOffset=0.0
unit.1.3.port.14.b.0.signedPrecision=0
unit.1.3.port.14.b.0.signedScaleFactor=1.0
unit.1.3.port.14.b.0.unsignedOffset=0.0
unit.1.3.port.14.b.0.unsignedPrecision=0
unit.1.3.port.14.b.0.unsignedScaleFactor=1.0
unit.1.3.port.14.b.0.visible=1
unit.1.3.port.14.buscount=1
unit.1.3.port.14.channelcount=16
unit.1.3.port.14.s.0.alias=
unit.1.3.port.14.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.0.name=SCRAMBLER_COUNT_VALUE[0]
unit.1.3.port.14.s.0.orderindex=-1
unit.1.3.port.14.s.0.visible=1
unit.1.3.port.14.s.1.alias=
unit.1.3.port.14.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.1.name=SCRAMBLER_COUNT_VALUE[1]
unit.1.3.port.14.s.1.orderindex=-1
unit.1.3.port.14.s.1.visible=1
unit.1.3.port.14.s.10.alias=
unit.1.3.port.14.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.10.name=SCRAMBLER_COUNT_VALUE[10]
unit.1.3.port.14.s.10.orderindex=-1
unit.1.3.port.14.s.10.visible=1
unit.1.3.port.14.s.11.alias=
unit.1.3.port.14.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.11.name=SCRAMBLER_COUNT_VALUE[11]
unit.1.3.port.14.s.11.orderindex=-1
unit.1.3.port.14.s.11.visible=1
unit.1.3.port.14.s.12.alias=
unit.1.3.port.14.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.12.name=SCRAMBLER_COUNT_VALUE[12]
unit.1.3.port.14.s.12.orderindex=-1
unit.1.3.port.14.s.12.visible=1
unit.1.3.port.14.s.13.alias=
unit.1.3.port.14.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.13.name=SCRAMBLER_COUNT_VALUE[13]
unit.1.3.port.14.s.13.orderindex=-1
unit.1.3.port.14.s.13.visible=1
unit.1.3.port.14.s.14.alias=
unit.1.3.port.14.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.14.name=SCRAMBLER_COUNT_VALUE[14]
unit.1.3.port.14.s.14.orderindex=-1
unit.1.3.port.14.s.14.visible=1
unit.1.3.port.14.s.15.alias=
unit.1.3.port.14.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.15.name=SCRAMBLER_COUNT_VALUE[15]
unit.1.3.port.14.s.15.orderindex=-1
unit.1.3.port.14.s.15.visible=1
unit.1.3.port.14.s.2.alias=
unit.1.3.port.14.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.2.name=SCRAMBLER_COUNT_VALUE[2]
unit.1.3.port.14.s.2.orderindex=-1
unit.1.3.port.14.s.2.visible=1
unit.1.3.port.14.s.3.alias=
unit.1.3.port.14.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.3.name=SCRAMBLER_COUNT_VALUE[3]
unit.1.3.port.14.s.3.orderindex=-1
unit.1.3.port.14.s.3.visible=1
unit.1.3.port.14.s.4.alias=
unit.1.3.port.14.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.4.name=SCRAMBLER_COUNT_VALUE[4]
unit.1.3.port.14.s.4.orderindex=-1
unit.1.3.port.14.s.4.visible=1
unit.1.3.port.14.s.5.alias=
unit.1.3.port.14.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.5.name=SCRAMBLER_COUNT_VALUE[5]
unit.1.3.port.14.s.5.orderindex=-1
unit.1.3.port.14.s.5.visible=1
unit.1.3.port.14.s.6.alias=
unit.1.3.port.14.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.6.name=SCRAMBLER_COUNT_VALUE[6]
unit.1.3.port.14.s.6.orderindex=-1
unit.1.3.port.14.s.6.visible=1
unit.1.3.port.14.s.7.alias=
unit.1.3.port.14.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.7.name=SCRAMBLER_COUNT_VALUE[7]
unit.1.3.port.14.s.7.orderindex=-1
unit.1.3.port.14.s.7.visible=1
unit.1.3.port.14.s.8.alias=
unit.1.3.port.14.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.8.name=SCRAMBLER_COUNT_VALUE[8]
unit.1.3.port.14.s.8.orderindex=-1
unit.1.3.port.14.s.8.visible=1
unit.1.3.port.14.s.9.alias=
unit.1.3.port.14.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.14.s.9.name=SCRAMBLER_COUNT_VALUE[9]
unit.1.3.port.14.s.9.orderindex=-1
unit.1.3.port.14.s.9.visible=1
unit.1.3.port.15.b.0.alias=
unit.1.3.port.15.b.0.channellist=0 1 2 3 4 5 6 7 8 9
unit.1.3.port.15.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.15.b.0.name=TX_FIFO_DATA_COUNT
unit.1.3.port.15.b.0.orderindex=-1
unit.1.3.port.15.b.0.radix=Hex
unit.1.3.port.15.b.0.signedOffset=0.0
unit.1.3.port.15.b.0.signedPrecision=0
unit.1.3.port.15.b.0.signedScaleFactor=1.0
unit.1.3.port.15.b.0.unsignedOffset=0.0
unit.1.3.port.15.b.0.unsignedPrecision=0
unit.1.3.port.15.b.0.unsignedScaleFactor=1.0
unit.1.3.port.15.b.0.visible=1
unit.1.3.port.15.buscount=1
unit.1.3.port.15.channelcount=10
unit.1.3.port.15.s.0.alias=
unit.1.3.port.15.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.15.s.0.name=TX_FIFO_DATA_COUNT[0]
unit.1.3.port.15.s.0.orderindex=-1
unit.1.3.port.15.s.0.visible=1
unit.1.3.port.15.s.1.alias=
unit.1.3.port.15.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.15.s.1.name=TX_FIFO_DATA_COUNT[1]
unit.1.3.port.15.s.1.orderindex=-1
unit.1.3.port.15.s.1.visible=1
unit.1.3.port.15.s.2.alias=
unit.1.3.port.15.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.15.s.2.name=TX_FIFO_DATA_COUNT[2]
unit.1.3.port.15.s.2.orderindex=-1
unit.1.3.port.15.s.2.visible=1
unit.1.3.port.15.s.3.alias=
unit.1.3.port.15.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.15.s.3.name=TX_FIFO_DATA_COUNT[3]
unit.1.3.port.15.s.3.orderindex=-1
unit.1.3.port.15.s.3.visible=1
unit.1.3.port.15.s.4.alias=
unit.1.3.port.15.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.15.s.4.name=TX_FIFO_DATA_COUNT[4]
unit.1.3.port.15.s.4.orderindex=-1
unit.1.3.port.15.s.4.visible=1
unit.1.3.port.15.s.5.alias=
unit.1.3.port.15.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.15.s.5.name=TX_FIFO_DATA_COUNT[5]
unit.1.3.port.15.s.5.orderindex=-1
unit.1.3.port.15.s.5.visible=1
unit.1.3.port.15.s.6.alias=
unit.1.3.port.15.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.15.s.6.name=TX_FIFO_DATA_COUNT[6]
unit.1.3.port.15.s.6.orderindex=-1
unit.1.3.port.15.s.6.visible=1
unit.1.3.port.15.s.7.alias=
unit.1.3.port.15.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.15.s.7.name=TX_FIFO_DATA_COUNT[7]
unit.1.3.port.15.s.7.orderindex=-1
unit.1.3.port.15.s.7.visible=1
unit.1.3.port.15.s.8.alias=
unit.1.3.port.15.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.15.s.8.name=TX_FIFO_DATA_COUNT[8]
unit.1.3.port.15.s.8.orderindex=-1
unit.1.3.port.15.s.8.visible=1
unit.1.3.port.15.s.9.alias=
unit.1.3.port.15.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.15.s.9.name=TX_FIFO_DATA_COUNT[9]
unit.1.3.port.15.s.9.orderindex=-1
unit.1.3.port.15.s.9.visible=1
unit.1.3.port.2.b.0.alias=
unit.1.3.port.2.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.3.port.2.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.b.0.name=RX_DATAIN
unit.1.3.port.2.b.0.orderindex=-1
unit.1.3.port.2.b.0.radix=Hex
unit.1.3.port.2.b.0.signedOffset=0.0
unit.1.3.port.2.b.0.signedPrecision=0
unit.1.3.port.2.b.0.signedScaleFactor=1.0
unit.1.3.port.2.b.0.unsignedOffset=0.0
unit.1.3.port.2.b.0.unsignedPrecision=0
unit.1.3.port.2.b.0.unsignedScaleFactor=1.0
unit.1.3.port.2.b.0.visible=1
unit.1.3.port.2.buscount=1
unit.1.3.port.2.channelcount=32
unit.1.3.port.2.s.0.alias=
unit.1.3.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.0.name=RX_DATAIN[0]
unit.1.3.port.2.s.0.orderindex=-1
unit.1.3.port.2.s.0.visible=1
unit.1.3.port.2.s.1.alias=
unit.1.3.port.2.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.1.name=RX_DATAIN[1]
unit.1.3.port.2.s.1.orderindex=-1
unit.1.3.port.2.s.1.visible=1
unit.1.3.port.2.s.10.alias=
unit.1.3.port.2.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.10.name=RX_DATAIN[10]
unit.1.3.port.2.s.10.orderindex=-1
unit.1.3.port.2.s.10.visible=1
unit.1.3.port.2.s.11.alias=
unit.1.3.port.2.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.11.name=RX_DATAIN[11]
unit.1.3.port.2.s.11.orderindex=-1
unit.1.3.port.2.s.11.visible=1
unit.1.3.port.2.s.12.alias=
unit.1.3.port.2.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.12.name=RX_DATAIN[12]
unit.1.3.port.2.s.12.orderindex=-1
unit.1.3.port.2.s.12.visible=1
unit.1.3.port.2.s.13.alias=
unit.1.3.port.2.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.13.name=RX_DATAIN[13]
unit.1.3.port.2.s.13.orderindex=-1
unit.1.3.port.2.s.13.visible=1
unit.1.3.port.2.s.14.alias=
unit.1.3.port.2.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.14.name=RX_DATAIN[14]
unit.1.3.port.2.s.14.orderindex=-1
unit.1.3.port.2.s.14.visible=1
unit.1.3.port.2.s.15.alias=
unit.1.3.port.2.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.15.name=RX_DATAIN[15]
unit.1.3.port.2.s.15.orderindex=-1
unit.1.3.port.2.s.15.visible=1
unit.1.3.port.2.s.16.alias=
unit.1.3.port.2.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.16.name=RX_DATAIN[16]
unit.1.3.port.2.s.16.orderindex=-1
unit.1.3.port.2.s.16.visible=1
unit.1.3.port.2.s.17.alias=
unit.1.3.port.2.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.17.name=RX_DATAIN[17]
unit.1.3.port.2.s.17.orderindex=-1
unit.1.3.port.2.s.17.visible=1
unit.1.3.port.2.s.18.alias=
unit.1.3.port.2.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.18.name=RX_DATAIN[18]
unit.1.3.port.2.s.18.orderindex=-1
unit.1.3.port.2.s.18.visible=1
unit.1.3.port.2.s.19.alias=
unit.1.3.port.2.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.19.name=RX_DATAIN[19]
unit.1.3.port.2.s.19.orderindex=-1
unit.1.3.port.2.s.19.visible=1
unit.1.3.port.2.s.2.alias=
unit.1.3.port.2.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.2.name=RX_DATAIN[2]
unit.1.3.port.2.s.2.orderindex=-1
unit.1.3.port.2.s.2.visible=1
unit.1.3.port.2.s.20.alias=
unit.1.3.port.2.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.20.name=RX_DATAIN[20]
unit.1.3.port.2.s.20.orderindex=-1
unit.1.3.port.2.s.20.visible=1
unit.1.3.port.2.s.21.alias=
unit.1.3.port.2.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.21.name=RX_DATAIN[21]
unit.1.3.port.2.s.21.orderindex=-1
unit.1.3.port.2.s.21.visible=1
unit.1.3.port.2.s.22.alias=
unit.1.3.port.2.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.22.name=RX_DATAIN[22]
unit.1.3.port.2.s.22.orderindex=-1
unit.1.3.port.2.s.22.visible=1
unit.1.3.port.2.s.23.alias=
unit.1.3.port.2.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.23.name=RX_DATAIN[23]
unit.1.3.port.2.s.23.orderindex=-1
unit.1.3.port.2.s.23.visible=1
unit.1.3.port.2.s.24.alias=
unit.1.3.port.2.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.24.name=RX_DATAIN[24]
unit.1.3.port.2.s.24.orderindex=-1
unit.1.3.port.2.s.24.visible=1
unit.1.3.port.2.s.25.alias=
unit.1.3.port.2.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.25.name=RX_DATAIN[25]
unit.1.3.port.2.s.25.orderindex=-1
unit.1.3.port.2.s.25.visible=1
unit.1.3.port.2.s.26.alias=
unit.1.3.port.2.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.26.name=RX_DATAIN[26]
unit.1.3.port.2.s.26.orderindex=-1
unit.1.3.port.2.s.26.visible=1
unit.1.3.port.2.s.27.alias=
unit.1.3.port.2.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.27.name=RX_DATAIN[27]
unit.1.3.port.2.s.27.orderindex=-1
unit.1.3.port.2.s.27.visible=1
unit.1.3.port.2.s.28.alias=
unit.1.3.port.2.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.28.name=RX_DATAIN[28]
unit.1.3.port.2.s.28.orderindex=-1
unit.1.3.port.2.s.28.visible=1
unit.1.3.port.2.s.29.alias=
unit.1.3.port.2.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.29.name=RX_DATAIN[29]
unit.1.3.port.2.s.29.orderindex=-1
unit.1.3.port.2.s.29.visible=1
unit.1.3.port.2.s.3.alias=
unit.1.3.port.2.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.3.name=RX_DATAIN[3]
unit.1.3.port.2.s.3.orderindex=-1
unit.1.3.port.2.s.3.visible=1
unit.1.3.port.2.s.30.alias=
unit.1.3.port.2.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.30.name=RX_DATAIN[30]
unit.1.3.port.2.s.30.orderindex=-1
unit.1.3.port.2.s.30.visible=1
unit.1.3.port.2.s.31.alias=
unit.1.3.port.2.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.31.name=RX_DATAIN[31]
unit.1.3.port.2.s.31.orderindex=-1
unit.1.3.port.2.s.31.visible=1
unit.1.3.port.2.s.4.alias=
unit.1.3.port.2.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.4.name=RX_DATAIN[4]
unit.1.3.port.2.s.4.orderindex=-1
unit.1.3.port.2.s.4.visible=1
unit.1.3.port.2.s.5.alias=
unit.1.3.port.2.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.5.name=RX_DATAIN[5]
unit.1.3.port.2.s.5.orderindex=-1
unit.1.3.port.2.s.5.visible=1
unit.1.3.port.2.s.6.alias=
unit.1.3.port.2.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.6.name=RX_DATAIN[6]
unit.1.3.port.2.s.6.orderindex=-1
unit.1.3.port.2.s.6.visible=1
unit.1.3.port.2.s.7.alias=
unit.1.3.port.2.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.7.name=RX_DATAIN[7]
unit.1.3.port.2.s.7.orderindex=-1
unit.1.3.port.2.s.7.visible=1
unit.1.3.port.2.s.8.alias=
unit.1.3.port.2.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.8.name=RX_DATAIN[8]
unit.1.3.port.2.s.8.orderindex=-1
unit.1.3.port.2.s.8.visible=1
unit.1.3.port.2.s.9.alias=
unit.1.3.port.2.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.2.s.9.name=RX_DATAIN[9]
unit.1.3.port.2.s.9.orderindex=-1
unit.1.3.port.2.s.9.visible=1
unit.1.3.port.3.b.0.alias=
unit.1.3.port.3.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.3.port.3.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.b.0.name=TX_FIFO_DOUT
unit.1.3.port.3.b.0.orderindex=-1
unit.1.3.port.3.b.0.radix=Hex
unit.1.3.port.3.b.0.signedOffset=0.0
unit.1.3.port.3.b.0.signedPrecision=0
unit.1.3.port.3.b.0.signedScaleFactor=1.0
unit.1.3.port.3.b.0.unsignedOffset=0.0
unit.1.3.port.3.b.0.unsignedPrecision=0
unit.1.3.port.3.b.0.unsignedScaleFactor=1.0
unit.1.3.port.3.b.0.visible=1
unit.1.3.port.3.buscount=1
unit.1.3.port.3.channelcount=32
unit.1.3.port.3.s.0.alias=
unit.1.3.port.3.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.0.name=TX_FIFO_DOUT[0]
unit.1.3.port.3.s.0.orderindex=-1
unit.1.3.port.3.s.0.visible=1
unit.1.3.port.3.s.1.alias=
unit.1.3.port.3.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.1.name=TX_FIFO_DOUT[1]
unit.1.3.port.3.s.1.orderindex=-1
unit.1.3.port.3.s.1.visible=1
unit.1.3.port.3.s.10.alias=
unit.1.3.port.3.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.10.name=TX_FIFO_DOUT[10]
unit.1.3.port.3.s.10.orderindex=-1
unit.1.3.port.3.s.10.visible=1
unit.1.3.port.3.s.11.alias=
unit.1.3.port.3.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.11.name=TX_FIFO_DOUT[11]
unit.1.3.port.3.s.11.orderindex=-1
unit.1.3.port.3.s.11.visible=1
unit.1.3.port.3.s.12.alias=
unit.1.3.port.3.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.12.name=TX_FIFO_DOUT[12]
unit.1.3.port.3.s.12.orderindex=-1
unit.1.3.port.3.s.12.visible=1
unit.1.3.port.3.s.13.alias=
unit.1.3.port.3.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.13.name=TX_FIFO_DOUT[13]
unit.1.3.port.3.s.13.orderindex=-1
unit.1.3.port.3.s.13.visible=1
unit.1.3.port.3.s.14.alias=
unit.1.3.port.3.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.14.name=TX_FIFO_DOUT[14]
unit.1.3.port.3.s.14.orderindex=-1
unit.1.3.port.3.s.14.visible=1
unit.1.3.port.3.s.15.alias=
unit.1.3.port.3.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.15.name=TX_FIFO_DOUT[15]
unit.1.3.port.3.s.15.orderindex=-1
unit.1.3.port.3.s.15.visible=1
unit.1.3.port.3.s.16.alias=
unit.1.3.port.3.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.16.name=TX_FIFO_DOUT[16]
unit.1.3.port.3.s.16.orderindex=-1
unit.1.3.port.3.s.16.visible=1
unit.1.3.port.3.s.17.alias=
unit.1.3.port.3.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.17.name=TX_FIFO_DOUT[17]
unit.1.3.port.3.s.17.orderindex=-1
unit.1.3.port.3.s.17.visible=1
unit.1.3.port.3.s.18.alias=
unit.1.3.port.3.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.18.name=TX_FIFO_DOUT[18]
unit.1.3.port.3.s.18.orderindex=-1
unit.1.3.port.3.s.18.visible=1
unit.1.3.port.3.s.19.alias=
unit.1.3.port.3.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.19.name=TX_FIFO_DOUT[19]
unit.1.3.port.3.s.19.orderindex=-1
unit.1.3.port.3.s.19.visible=1
unit.1.3.port.3.s.2.alias=
unit.1.3.port.3.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.2.name=TX_FIFO_DOUT[2]
unit.1.3.port.3.s.2.orderindex=-1
unit.1.3.port.3.s.2.visible=1
unit.1.3.port.3.s.20.alias=
unit.1.3.port.3.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.20.name=TX_FIFO_DOUT[20]
unit.1.3.port.3.s.20.orderindex=-1
unit.1.3.port.3.s.20.visible=1
unit.1.3.port.3.s.21.alias=
unit.1.3.port.3.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.21.name=TX_FIFO_DOUT[21]
unit.1.3.port.3.s.21.orderindex=-1
unit.1.3.port.3.s.21.visible=1
unit.1.3.port.3.s.22.alias=
unit.1.3.port.3.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.22.name=TX_FIFO_DOUT[22]
unit.1.3.port.3.s.22.orderindex=-1
unit.1.3.port.3.s.22.visible=1
unit.1.3.port.3.s.23.alias=
unit.1.3.port.3.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.23.name=TX_FIFO_DOUT[23]
unit.1.3.port.3.s.23.orderindex=-1
unit.1.3.port.3.s.23.visible=1
unit.1.3.port.3.s.24.alias=
unit.1.3.port.3.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.24.name=TX_FIFO_DOUT[24]
unit.1.3.port.3.s.24.orderindex=-1
unit.1.3.port.3.s.24.visible=1
unit.1.3.port.3.s.25.alias=
unit.1.3.port.3.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.25.name=TX_FIFO_DOUT[25]
unit.1.3.port.3.s.25.orderindex=-1
unit.1.3.port.3.s.25.visible=1
unit.1.3.port.3.s.26.alias=
unit.1.3.port.3.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.26.name=TX_FIFO_DOUT[26]
unit.1.3.port.3.s.26.orderindex=-1
unit.1.3.port.3.s.26.visible=1
unit.1.3.port.3.s.27.alias=
unit.1.3.port.3.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.27.name=TX_FIFO_DOUT[27]
unit.1.3.port.3.s.27.orderindex=-1
unit.1.3.port.3.s.27.visible=1
unit.1.3.port.3.s.28.alias=
unit.1.3.port.3.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.28.name=TX_FIFO_DOUT[28]
unit.1.3.port.3.s.28.orderindex=-1
unit.1.3.port.3.s.28.visible=1
unit.1.3.port.3.s.29.alias=
unit.1.3.port.3.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.29.name=TX_FIFO_DOUT[29]
unit.1.3.port.3.s.29.orderindex=-1
unit.1.3.port.3.s.29.visible=1
unit.1.3.port.3.s.3.alias=
unit.1.3.port.3.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.3.name=TX_FIFO_DOUT[3]
unit.1.3.port.3.s.3.orderindex=-1
unit.1.3.port.3.s.3.visible=1
unit.1.3.port.3.s.30.alias=
unit.1.3.port.3.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.30.name=TX_FIFO_DOUT[30]
unit.1.3.port.3.s.30.orderindex=-1
unit.1.3.port.3.s.30.visible=1
unit.1.3.port.3.s.31.alias=
unit.1.3.port.3.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.31.name=TX_FIFO_DOUT[31]
unit.1.3.port.3.s.31.orderindex=-1
unit.1.3.port.3.s.31.visible=1
unit.1.3.port.3.s.4.alias=
unit.1.3.port.3.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.4.name=TX_FIFO_DOUT[4]
unit.1.3.port.3.s.4.orderindex=-1
unit.1.3.port.3.s.4.visible=1
unit.1.3.port.3.s.5.alias=
unit.1.3.port.3.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.5.name=TX_FIFO_DOUT[5]
unit.1.3.port.3.s.5.orderindex=-1
unit.1.3.port.3.s.5.visible=1
unit.1.3.port.3.s.6.alias=
unit.1.3.port.3.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.6.name=TX_FIFO_DOUT[6]
unit.1.3.port.3.s.6.orderindex=-1
unit.1.3.port.3.s.6.visible=1
unit.1.3.port.3.s.7.alias=
unit.1.3.port.3.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.7.name=TX_FIFO_DOUT[7]
unit.1.3.port.3.s.7.orderindex=-1
unit.1.3.port.3.s.7.visible=1
unit.1.3.port.3.s.8.alias=
unit.1.3.port.3.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.8.name=TX_FIFO_DOUT[8]
unit.1.3.port.3.s.8.orderindex=-1
unit.1.3.port.3.s.8.visible=1
unit.1.3.port.3.s.9.alias=
unit.1.3.port.3.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.3.s.9.name=TX_FIFO_DOUT[9]
unit.1.3.port.3.s.9.orderindex=-1
unit.1.3.port.3.s.9.visible=1
unit.1.3.port.4.b.0.alias=
unit.1.3.port.4.b.0.channellist=0 1 2 3
unit.1.3.port.4.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.4.b.0.name=MASTER_FSM_VALUE
unit.1.3.port.4.b.0.orderindex=-1
unit.1.3.port.4.b.0.radix=Hex
unit.1.3.port.4.b.0.signedOffset=0.0
unit.1.3.port.4.b.0.signedPrecision=0
unit.1.3.port.4.b.0.signedScaleFactor=1.0
unit.1.3.port.4.b.0.unsignedOffset=0.0
unit.1.3.port.4.b.0.unsignedPrecision=0
unit.1.3.port.4.b.0.unsignedScaleFactor=1.0
unit.1.3.port.4.b.0.visible=1
unit.1.3.port.4.buscount=1
unit.1.3.port.4.channelcount=4
unit.1.3.port.4.s.0.alias=
unit.1.3.port.4.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.4.s.0.name=MASTER_FSM_VALUE[0]
unit.1.3.port.4.s.0.orderindex=-1
unit.1.3.port.4.s.0.visible=1
unit.1.3.port.4.s.1.alias=
unit.1.3.port.4.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.4.s.1.name=MASTER_FSM_VALUE[1]
unit.1.3.port.4.s.1.orderindex=-1
unit.1.3.port.4.s.1.visible=1
unit.1.3.port.4.s.2.alias=
unit.1.3.port.4.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.4.s.2.name=MASTER_FSM_VALUE[2]
unit.1.3.port.4.s.2.orderindex=-1
unit.1.3.port.4.s.2.visible=1
unit.1.3.port.4.s.3.alias=
unit.1.3.port.4.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.4.s.3.name=MASTER_FSM_VALUE[3]
unit.1.3.port.4.s.3.orderindex=-1
unit.1.3.port.4.s.3.visible=1
unit.1.3.port.5.b.0.alias=
unit.1.3.port.5.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.3.port.5.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.b.0.name=TX_FIFO_DIN
unit.1.3.port.5.b.0.orderindex=-1
unit.1.3.port.5.b.0.radix=Hex
unit.1.3.port.5.b.0.signedOffset=0.0
unit.1.3.port.5.b.0.signedPrecision=0
unit.1.3.port.5.b.0.signedScaleFactor=1.0
unit.1.3.port.5.b.0.unsignedOffset=0.0
unit.1.3.port.5.b.0.unsignedPrecision=0
unit.1.3.port.5.b.0.unsignedScaleFactor=1.0
unit.1.3.port.5.b.0.visible=1
unit.1.3.port.5.buscount=1
unit.1.3.port.5.channelcount=32
unit.1.3.port.5.s.0.alias=
unit.1.3.port.5.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.0.name=TX_FIFO_DIN[0]
unit.1.3.port.5.s.0.orderindex=-1
unit.1.3.port.5.s.0.visible=1
unit.1.3.port.5.s.1.alias=
unit.1.3.port.5.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.1.name=TX_FIFO_DIN[1]
unit.1.3.port.5.s.1.orderindex=-1
unit.1.3.port.5.s.1.visible=1
unit.1.3.port.5.s.10.alias=
unit.1.3.port.5.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.10.name=TX_FIFO_DIN[10]
unit.1.3.port.5.s.10.orderindex=-1
unit.1.3.port.5.s.10.visible=1
unit.1.3.port.5.s.11.alias=
unit.1.3.port.5.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.11.name=TX_FIFO_DIN[11]
unit.1.3.port.5.s.11.orderindex=-1
unit.1.3.port.5.s.11.visible=1
unit.1.3.port.5.s.12.alias=
unit.1.3.port.5.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.12.name=TX_FIFO_DIN[12]
unit.1.3.port.5.s.12.orderindex=-1
unit.1.3.port.5.s.12.visible=1
unit.1.3.port.5.s.13.alias=
unit.1.3.port.5.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.13.name=TX_FIFO_DIN[13]
unit.1.3.port.5.s.13.orderindex=-1
unit.1.3.port.5.s.13.visible=1
unit.1.3.port.5.s.14.alias=
unit.1.3.port.5.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.14.name=TX_FIFO_DIN[14]
unit.1.3.port.5.s.14.orderindex=-1
unit.1.3.port.5.s.14.visible=1
unit.1.3.port.5.s.15.alias=
unit.1.3.port.5.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.15.name=TX_FIFO_DIN[15]
unit.1.3.port.5.s.15.orderindex=-1
unit.1.3.port.5.s.15.visible=1
unit.1.3.port.5.s.16.alias=
unit.1.3.port.5.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.16.name=TX_FIFO_DIN[16]
unit.1.3.port.5.s.16.orderindex=-1
unit.1.3.port.5.s.16.visible=1
unit.1.3.port.5.s.17.alias=
unit.1.3.port.5.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.17.name=TX_FIFO_DIN[17]
unit.1.3.port.5.s.17.orderindex=-1
unit.1.3.port.5.s.17.visible=1
unit.1.3.port.5.s.18.alias=
unit.1.3.port.5.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.18.name=TX_FIFO_DIN[18]
unit.1.3.port.5.s.18.orderindex=-1
unit.1.3.port.5.s.18.visible=1
unit.1.3.port.5.s.19.alias=
unit.1.3.port.5.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.19.name=TX_FIFO_DIN[19]
unit.1.3.port.5.s.19.orderindex=-1
unit.1.3.port.5.s.19.visible=1
unit.1.3.port.5.s.2.alias=
unit.1.3.port.5.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.2.name=TX_FIFO_DIN[2]
unit.1.3.port.5.s.2.orderindex=-1
unit.1.3.port.5.s.2.visible=1
unit.1.3.port.5.s.20.alias=
unit.1.3.port.5.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.20.name=TX_FIFO_DIN[20]
unit.1.3.port.5.s.20.orderindex=-1
unit.1.3.port.5.s.20.visible=1
unit.1.3.port.5.s.21.alias=
unit.1.3.port.5.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.21.name=TX_FIFO_DIN[21]
unit.1.3.port.5.s.21.orderindex=-1
unit.1.3.port.5.s.21.visible=1
unit.1.3.port.5.s.22.alias=
unit.1.3.port.5.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.22.name=TX_FIFO_DIN[22]
unit.1.3.port.5.s.22.orderindex=-1
unit.1.3.port.5.s.22.visible=1
unit.1.3.port.5.s.23.alias=
unit.1.3.port.5.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.23.name=TX_FIFO_DIN[23]
unit.1.3.port.5.s.23.orderindex=-1
unit.1.3.port.5.s.23.visible=1
unit.1.3.port.5.s.24.alias=
unit.1.3.port.5.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.24.name=TX_FIFO_DIN[24]
unit.1.3.port.5.s.24.orderindex=-1
unit.1.3.port.5.s.24.visible=1
unit.1.3.port.5.s.25.alias=
unit.1.3.port.5.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.25.name=TX_FIFO_DIN[25]
unit.1.3.port.5.s.25.orderindex=-1
unit.1.3.port.5.s.25.visible=1
unit.1.3.port.5.s.26.alias=
unit.1.3.port.5.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.26.name=TX_FIFO_DIN[26]
unit.1.3.port.5.s.26.orderindex=-1
unit.1.3.port.5.s.26.visible=1
unit.1.3.port.5.s.27.alias=
unit.1.3.port.5.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.27.name=TX_FIFO_DIN[27]
unit.1.3.port.5.s.27.orderindex=-1
unit.1.3.port.5.s.27.visible=1
unit.1.3.port.5.s.28.alias=
unit.1.3.port.5.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.28.name=TX_FIFO_DIN[28]
unit.1.3.port.5.s.28.orderindex=-1
unit.1.3.port.5.s.28.visible=1
unit.1.3.port.5.s.29.alias=
unit.1.3.port.5.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.29.name=TX_FIFO_DIN[29]
unit.1.3.port.5.s.29.orderindex=-1
unit.1.3.port.5.s.29.visible=1
unit.1.3.port.5.s.3.alias=
unit.1.3.port.5.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.3.name=TX_FIFO_DIN[3]
unit.1.3.port.5.s.3.orderindex=-1
unit.1.3.port.5.s.3.visible=1
unit.1.3.port.5.s.30.alias=
unit.1.3.port.5.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.30.name=TX_FIFO_DIN[30]
unit.1.3.port.5.s.30.orderindex=-1
unit.1.3.port.5.s.30.visible=1
unit.1.3.port.5.s.31.alias=
unit.1.3.port.5.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.31.name=TX_FIFO_DIN[31]
unit.1.3.port.5.s.31.orderindex=-1
unit.1.3.port.5.s.31.visible=1
unit.1.3.port.5.s.4.alias=
unit.1.3.port.5.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.4.name=TX_FIFO_DIN[4]
unit.1.3.port.5.s.4.orderindex=-1
unit.1.3.port.5.s.4.visible=1
unit.1.3.port.5.s.5.alias=
unit.1.3.port.5.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.5.name=TX_FIFO_DIN[5]
unit.1.3.port.5.s.5.orderindex=-1
unit.1.3.port.5.s.5.visible=1
unit.1.3.port.5.s.6.alias=
unit.1.3.port.5.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.6.name=TX_FIFO_DIN[6]
unit.1.3.port.5.s.6.orderindex=-1
unit.1.3.port.5.s.6.visible=1
unit.1.3.port.5.s.7.alias=
unit.1.3.port.5.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.7.name=TX_FIFO_DIN[7]
unit.1.3.port.5.s.7.orderindex=-1
unit.1.3.port.5.s.7.visible=1
unit.1.3.port.5.s.8.alias=
unit.1.3.port.5.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.8.name=TX_FIFO_DIN[8]
unit.1.3.port.5.s.8.orderindex=-1
unit.1.3.port.5.s.8.visible=1
unit.1.3.port.5.s.9.alias=
unit.1.3.port.5.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.5.s.9.name=TX_FIFO_DIN[9]
unit.1.3.port.5.s.9.orderindex=-1
unit.1.3.port.5.s.9.visible=1
unit.1.3.port.6.b.0.alias=
unit.1.3.port.6.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.3.port.6.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.b.0.name=WRITE_FIFO_DIN
unit.1.3.port.6.b.0.orderindex=-1
unit.1.3.port.6.b.0.radix=Hex
unit.1.3.port.6.b.0.signedOffset=0.0
unit.1.3.port.6.b.0.signedPrecision=0
unit.1.3.port.6.b.0.signedScaleFactor=1.0
unit.1.3.port.6.b.0.unsignedOffset=0.0
unit.1.3.port.6.b.0.unsignedPrecision=0
unit.1.3.port.6.b.0.unsignedScaleFactor=1.0
unit.1.3.port.6.b.0.visible=1
unit.1.3.port.6.buscount=1
unit.1.3.port.6.channelcount=32
unit.1.3.port.6.s.0.alias=
unit.1.3.port.6.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.0.name=WRITE_FIFO_DIN[0]
unit.1.3.port.6.s.0.orderindex=-1
unit.1.3.port.6.s.0.visible=1
unit.1.3.port.6.s.1.alias=
unit.1.3.port.6.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.1.name=WRITE_FIFO_DIN[1]
unit.1.3.port.6.s.1.orderindex=-1
unit.1.3.port.6.s.1.visible=1
unit.1.3.port.6.s.10.alias=
unit.1.3.port.6.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.10.name=WRITE_FIFO_DIN[10]
unit.1.3.port.6.s.10.orderindex=-1
unit.1.3.port.6.s.10.visible=1
unit.1.3.port.6.s.11.alias=
unit.1.3.port.6.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.11.name=WRITE_FIFO_DIN[11]
unit.1.3.port.6.s.11.orderindex=-1
unit.1.3.port.6.s.11.visible=1
unit.1.3.port.6.s.12.alias=
unit.1.3.port.6.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.12.name=WRITE_FIFO_DIN[12]
unit.1.3.port.6.s.12.orderindex=-1
unit.1.3.port.6.s.12.visible=1
unit.1.3.port.6.s.13.alias=
unit.1.3.port.6.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.13.name=WRITE_FIFO_DIN[13]
unit.1.3.port.6.s.13.orderindex=-1
unit.1.3.port.6.s.13.visible=1
unit.1.3.port.6.s.14.alias=
unit.1.3.port.6.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.14.name=WRITE_FIFO_DIN[14]
unit.1.3.port.6.s.14.orderindex=-1
unit.1.3.port.6.s.14.visible=1
unit.1.3.port.6.s.15.alias=
unit.1.3.port.6.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.15.name=WRITE_FIFO_DIN[15]
unit.1.3.port.6.s.15.orderindex=-1
unit.1.3.port.6.s.15.visible=1
unit.1.3.port.6.s.16.alias=
unit.1.3.port.6.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.16.name=WRITE_FIFO_DIN[16]
unit.1.3.port.6.s.16.orderindex=-1
unit.1.3.port.6.s.16.visible=1
unit.1.3.port.6.s.17.alias=
unit.1.3.port.6.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.17.name=WRITE_FIFO_DIN[17]
unit.1.3.port.6.s.17.orderindex=-1
unit.1.3.port.6.s.17.visible=1
unit.1.3.port.6.s.18.alias=
unit.1.3.port.6.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.18.name=WRITE_FIFO_DIN[18]
unit.1.3.port.6.s.18.orderindex=-1
unit.1.3.port.6.s.18.visible=1
unit.1.3.port.6.s.19.alias=
unit.1.3.port.6.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.19.name=WRITE_FIFO_DIN[19]
unit.1.3.port.6.s.19.orderindex=-1
unit.1.3.port.6.s.19.visible=1
unit.1.3.port.6.s.2.alias=
unit.1.3.port.6.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.2.name=WRITE_FIFO_DIN[2]
unit.1.3.port.6.s.2.orderindex=-1
unit.1.3.port.6.s.2.visible=1
unit.1.3.port.6.s.20.alias=
unit.1.3.port.6.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.20.name=WRITE_FIFO_DIN[20]
unit.1.3.port.6.s.20.orderindex=-1
unit.1.3.port.6.s.20.visible=1
unit.1.3.port.6.s.21.alias=
unit.1.3.port.6.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.21.name=WRITE_FIFO_DIN[21]
unit.1.3.port.6.s.21.orderindex=-1
unit.1.3.port.6.s.21.visible=1
unit.1.3.port.6.s.22.alias=
unit.1.3.port.6.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.22.name=WRITE_FIFO_DIN[22]
unit.1.3.port.6.s.22.orderindex=-1
unit.1.3.port.6.s.22.visible=1
unit.1.3.port.6.s.23.alias=
unit.1.3.port.6.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.23.name=WRITE_FIFO_DIN[23]
unit.1.3.port.6.s.23.orderindex=-1
unit.1.3.port.6.s.23.visible=1
unit.1.3.port.6.s.24.alias=
unit.1.3.port.6.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.24.name=WRITE_FIFO_DIN[24]
unit.1.3.port.6.s.24.orderindex=-1
unit.1.3.port.6.s.24.visible=1
unit.1.3.port.6.s.25.alias=
unit.1.3.port.6.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.25.name=WRITE_FIFO_DIN[25]
unit.1.3.port.6.s.25.orderindex=-1
unit.1.3.port.6.s.25.visible=1
unit.1.3.port.6.s.26.alias=
unit.1.3.port.6.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.26.name=WRITE_FIFO_DIN[26]
unit.1.3.port.6.s.26.orderindex=-1
unit.1.3.port.6.s.26.visible=1
unit.1.3.port.6.s.27.alias=
unit.1.3.port.6.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.27.name=WRITE_FIFO_DIN[27]
unit.1.3.port.6.s.27.orderindex=-1
unit.1.3.port.6.s.27.visible=1
unit.1.3.port.6.s.28.alias=
unit.1.3.port.6.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.28.name=WRITE_FIFO_DIN[28]
unit.1.3.port.6.s.28.orderindex=-1
unit.1.3.port.6.s.28.visible=1
unit.1.3.port.6.s.29.alias=
unit.1.3.port.6.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.29.name=WRITE_FIFO_DIN[29]
unit.1.3.port.6.s.29.orderindex=-1
unit.1.3.port.6.s.29.visible=1
unit.1.3.port.6.s.3.alias=
unit.1.3.port.6.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.3.name=WRITE_FIFO_DIN[3]
unit.1.3.port.6.s.3.orderindex=-1
unit.1.3.port.6.s.3.visible=1
unit.1.3.port.6.s.30.alias=
unit.1.3.port.6.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.30.name=WRITE_FIFO_DIN[30]
unit.1.3.port.6.s.30.orderindex=-1
unit.1.3.port.6.s.30.visible=1
unit.1.3.port.6.s.31.alias=
unit.1.3.port.6.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.31.name=WRITE_FIFO_DIN[31]
unit.1.3.port.6.s.31.orderindex=-1
unit.1.3.port.6.s.31.visible=1
unit.1.3.port.6.s.4.alias=
unit.1.3.port.6.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.4.name=WRITE_FIFO_DIN[4]
unit.1.3.port.6.s.4.orderindex=-1
unit.1.3.port.6.s.4.visible=1
unit.1.3.port.6.s.5.alias=
unit.1.3.port.6.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.5.name=WRITE_FIFO_DIN[5]
unit.1.3.port.6.s.5.orderindex=-1
unit.1.3.port.6.s.5.visible=1
unit.1.3.port.6.s.6.alias=
unit.1.3.port.6.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.6.name=WRITE_FIFO_DIN[6]
unit.1.3.port.6.s.6.orderindex=-1
unit.1.3.port.6.s.6.visible=1
unit.1.3.port.6.s.7.alias=
unit.1.3.port.6.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.7.name=WRITE_FIFO_DIN[7]
unit.1.3.port.6.s.7.orderindex=-1
unit.1.3.port.6.s.7.visible=1
unit.1.3.port.6.s.8.alias=
unit.1.3.port.6.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.8.name=WRITE_FIFO_DIN[8]
unit.1.3.port.6.s.8.orderindex=-1
unit.1.3.port.6.s.8.visible=1
unit.1.3.port.6.s.9.alias=
unit.1.3.port.6.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.6.s.9.name=WRITE_FIFO_DIN[9]
unit.1.3.port.6.s.9.orderindex=-1
unit.1.3.port.6.s.9.visible=1
unit.1.3.port.7.b.0.alias=
unit.1.3.port.7.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.3.port.7.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.b.0.name=WRITE_FIFO_DOUT
unit.1.3.port.7.b.0.orderindex=-1
unit.1.3.port.7.b.0.radix=Hex
unit.1.3.port.7.b.0.signedOffset=0.0
unit.1.3.port.7.b.0.signedPrecision=0
unit.1.3.port.7.b.0.signedScaleFactor=1.0
unit.1.3.port.7.b.0.unsignedOffset=0.0
unit.1.3.port.7.b.0.unsignedPrecision=0
unit.1.3.port.7.b.0.unsignedScaleFactor=1.0
unit.1.3.port.7.b.0.visible=1
unit.1.3.port.7.buscount=1
unit.1.3.port.7.channelcount=32
unit.1.3.port.7.s.0.alias=
unit.1.3.port.7.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.0.name=WRITE_FIFO_DOUT[0]
unit.1.3.port.7.s.0.orderindex=-1
unit.1.3.port.7.s.0.visible=1
unit.1.3.port.7.s.1.alias=
unit.1.3.port.7.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.1.name=WRITE_FIFO_DOUT[1]
unit.1.3.port.7.s.1.orderindex=-1
unit.1.3.port.7.s.1.visible=1
unit.1.3.port.7.s.10.alias=
unit.1.3.port.7.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.10.name=WRITE_FIFO_DOUT[10]
unit.1.3.port.7.s.10.orderindex=-1
unit.1.3.port.7.s.10.visible=1
unit.1.3.port.7.s.11.alias=
unit.1.3.port.7.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.11.name=WRITE_FIFO_DOUT[11]
unit.1.3.port.7.s.11.orderindex=-1
unit.1.3.port.7.s.11.visible=1
unit.1.3.port.7.s.12.alias=
unit.1.3.port.7.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.12.name=WRITE_FIFO_DOUT[12]
unit.1.3.port.7.s.12.orderindex=-1
unit.1.3.port.7.s.12.visible=1
unit.1.3.port.7.s.13.alias=
unit.1.3.port.7.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.13.name=WRITE_FIFO_DOUT[13]
unit.1.3.port.7.s.13.orderindex=-1
unit.1.3.port.7.s.13.visible=1
unit.1.3.port.7.s.14.alias=
unit.1.3.port.7.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.14.name=WRITE_FIFO_DOUT[14]
unit.1.3.port.7.s.14.orderindex=-1
unit.1.3.port.7.s.14.visible=1
unit.1.3.port.7.s.15.alias=
unit.1.3.port.7.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.15.name=WRITE_FIFO_DOUT[15]
unit.1.3.port.7.s.15.orderindex=-1
unit.1.3.port.7.s.15.visible=1
unit.1.3.port.7.s.16.alias=
unit.1.3.port.7.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.16.name=WRITE_FIFO_DOUT[16]
unit.1.3.port.7.s.16.orderindex=-1
unit.1.3.port.7.s.16.visible=1
unit.1.3.port.7.s.17.alias=
unit.1.3.port.7.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.17.name=WRITE_FIFO_DOUT[17]
unit.1.3.port.7.s.17.orderindex=-1
unit.1.3.port.7.s.17.visible=1
unit.1.3.port.7.s.18.alias=
unit.1.3.port.7.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.18.name=WRITE_FIFO_DOUT[18]
unit.1.3.port.7.s.18.orderindex=-1
unit.1.3.port.7.s.18.visible=1
unit.1.3.port.7.s.19.alias=
unit.1.3.port.7.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.19.name=WRITE_FIFO_DOUT[19]
unit.1.3.port.7.s.19.orderindex=-1
unit.1.3.port.7.s.19.visible=1
unit.1.3.port.7.s.2.alias=
unit.1.3.port.7.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.2.name=WRITE_FIFO_DOUT[2]
unit.1.3.port.7.s.2.orderindex=-1
unit.1.3.port.7.s.2.visible=1
unit.1.3.port.7.s.20.alias=
unit.1.3.port.7.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.20.name=WRITE_FIFO_DOUT[20]
unit.1.3.port.7.s.20.orderindex=-1
unit.1.3.port.7.s.20.visible=1
unit.1.3.port.7.s.21.alias=
unit.1.3.port.7.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.21.name=WRITE_FIFO_DOUT[21]
unit.1.3.port.7.s.21.orderindex=-1
unit.1.3.port.7.s.21.visible=1
unit.1.3.port.7.s.22.alias=
unit.1.3.port.7.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.22.name=WRITE_FIFO_DOUT[22]
unit.1.3.port.7.s.22.orderindex=-1
unit.1.3.port.7.s.22.visible=1
unit.1.3.port.7.s.23.alias=
unit.1.3.port.7.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.23.name=WRITE_FIFO_DOUT[23]
unit.1.3.port.7.s.23.orderindex=-1
unit.1.3.port.7.s.23.visible=1
unit.1.3.port.7.s.24.alias=
unit.1.3.port.7.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.24.name=WRITE_FIFO_DOUT[24]
unit.1.3.port.7.s.24.orderindex=-1
unit.1.3.port.7.s.24.visible=1
unit.1.3.port.7.s.25.alias=
unit.1.3.port.7.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.25.name=WRITE_FIFO_DOUT[25]
unit.1.3.port.7.s.25.orderindex=-1
unit.1.3.port.7.s.25.visible=1
unit.1.3.port.7.s.26.alias=
unit.1.3.port.7.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.26.name=WRITE_FIFO_DOUT[26]
unit.1.3.port.7.s.26.orderindex=-1
unit.1.3.port.7.s.26.visible=1
unit.1.3.port.7.s.27.alias=
unit.1.3.port.7.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.27.name=WRITE_FIFO_DOUT[27]
unit.1.3.port.7.s.27.orderindex=-1
unit.1.3.port.7.s.27.visible=1
unit.1.3.port.7.s.28.alias=
unit.1.3.port.7.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.28.name=WRITE_FIFO_DOUT[28]
unit.1.3.port.7.s.28.orderindex=-1
unit.1.3.port.7.s.28.visible=1
unit.1.3.port.7.s.29.alias=
unit.1.3.port.7.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.29.name=WRITE_FIFO_DOUT[29]
unit.1.3.port.7.s.29.orderindex=-1
unit.1.3.port.7.s.29.visible=1
unit.1.3.port.7.s.3.alias=
unit.1.3.port.7.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.3.name=WRITE_FIFO_DOUT[3]
unit.1.3.port.7.s.3.orderindex=-1
unit.1.3.port.7.s.3.visible=1
unit.1.3.port.7.s.30.alias=
unit.1.3.port.7.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.30.name=WRITE_FIFO_DOUT[30]
unit.1.3.port.7.s.30.orderindex=-1
unit.1.3.port.7.s.30.visible=1
unit.1.3.port.7.s.31.alias=
unit.1.3.port.7.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.31.name=WRITE_FIFO_DOUT[31]
unit.1.3.port.7.s.31.orderindex=-1
unit.1.3.port.7.s.31.visible=1
unit.1.3.port.7.s.4.alias=
unit.1.3.port.7.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.4.name=WRITE_FIFO_DOUT[4]
unit.1.3.port.7.s.4.orderindex=-1
unit.1.3.port.7.s.4.visible=1
unit.1.3.port.7.s.5.alias=
unit.1.3.port.7.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.5.name=WRITE_FIFO_DOUT[5]
unit.1.3.port.7.s.5.orderindex=-1
unit.1.3.port.7.s.5.visible=1
unit.1.3.port.7.s.6.alias=
unit.1.3.port.7.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.6.name=WRITE_FIFO_DOUT[6]
unit.1.3.port.7.s.6.orderindex=-1
unit.1.3.port.7.s.6.visible=1
unit.1.3.port.7.s.7.alias=
unit.1.3.port.7.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.7.name=WRITE_FIFO_DOUT[7]
unit.1.3.port.7.s.7.orderindex=-1
unit.1.3.port.7.s.7.visible=1
unit.1.3.port.7.s.8.alias=
unit.1.3.port.7.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.8.name=WRITE_FIFO_DOUT[8]
unit.1.3.port.7.s.8.orderindex=-1
unit.1.3.port.7.s.8.visible=1
unit.1.3.port.7.s.9.alias=
unit.1.3.port.7.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.7.s.9.name=WRITE_FIFO_DOUT[9]
unit.1.3.port.7.s.9.orderindex=-1
unit.1.3.port.7.s.9.visible=1
unit.1.3.port.8.b.0.alias=
unit.1.3.port.8.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.3.port.8.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.b.0.name=FIS_WORD_COUNT
unit.1.3.port.8.b.0.orderindex=-1
unit.1.3.port.8.b.0.radix=Hex
unit.1.3.port.8.b.0.signedOffset=0.0
unit.1.3.port.8.b.0.signedPrecision=0
unit.1.3.port.8.b.0.signedScaleFactor=1.0
unit.1.3.port.8.b.0.unsignedOffset=0.0
unit.1.3.port.8.b.0.unsignedPrecision=0
unit.1.3.port.8.b.0.unsignedScaleFactor=1.0
unit.1.3.port.8.b.0.visible=1
unit.1.3.port.8.buscount=1
unit.1.3.port.8.channelcount=16
unit.1.3.port.8.s.0.alias=
unit.1.3.port.8.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.0.name=FIS_WORD_COUNT[0]
unit.1.3.port.8.s.0.orderindex=-1
unit.1.3.port.8.s.0.visible=1
unit.1.3.port.8.s.1.alias=
unit.1.3.port.8.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.1.name=FIS_WORD_COUNT[1]
unit.1.3.port.8.s.1.orderindex=-1
unit.1.3.port.8.s.1.visible=1
unit.1.3.port.8.s.10.alias=
unit.1.3.port.8.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.10.name=FIS_WORD_COUNT[10]
unit.1.3.port.8.s.10.orderindex=-1
unit.1.3.port.8.s.10.visible=1
unit.1.3.port.8.s.11.alias=
unit.1.3.port.8.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.11.name=FIS_WORD_COUNT[11]
unit.1.3.port.8.s.11.orderindex=-1
unit.1.3.port.8.s.11.visible=1
unit.1.3.port.8.s.12.alias=
unit.1.3.port.8.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.12.name=FIS_WORD_COUNT[12]
unit.1.3.port.8.s.12.orderindex=-1
unit.1.3.port.8.s.12.visible=1
unit.1.3.port.8.s.13.alias=
unit.1.3.port.8.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.13.name=FIS_WORD_COUNT[13]
unit.1.3.port.8.s.13.orderindex=-1
unit.1.3.port.8.s.13.visible=1
unit.1.3.port.8.s.14.alias=
unit.1.3.port.8.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.14.name=FIS_WORD_COUNT[14]
unit.1.3.port.8.s.14.orderindex=-1
unit.1.3.port.8.s.14.visible=1
unit.1.3.port.8.s.15.alias=
unit.1.3.port.8.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.15.name=FIS_WORD_COUNT[15]
unit.1.3.port.8.s.15.orderindex=-1
unit.1.3.port.8.s.15.visible=1
unit.1.3.port.8.s.2.alias=
unit.1.3.port.8.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.2.name=FIS_WORD_COUNT[2]
unit.1.3.port.8.s.2.orderindex=-1
unit.1.3.port.8.s.2.visible=1
unit.1.3.port.8.s.3.alias=
unit.1.3.port.8.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.3.name=FIS_WORD_COUNT[3]
unit.1.3.port.8.s.3.orderindex=-1
unit.1.3.port.8.s.3.visible=1
unit.1.3.port.8.s.4.alias=
unit.1.3.port.8.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.4.name=FIS_WORD_COUNT[4]
unit.1.3.port.8.s.4.orderindex=-1
unit.1.3.port.8.s.4.visible=1
unit.1.3.port.8.s.5.alias=
unit.1.3.port.8.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.5.name=FIS_WORD_COUNT[5]
unit.1.3.port.8.s.5.orderindex=-1
unit.1.3.port.8.s.5.visible=1
unit.1.3.port.8.s.6.alias=
unit.1.3.port.8.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.6.name=FIS_WORD_COUNT[6]
unit.1.3.port.8.s.6.orderindex=-1
unit.1.3.port.8.s.6.visible=1
unit.1.3.port.8.s.7.alias=
unit.1.3.port.8.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.7.name=FIS_WORD_COUNT[7]
unit.1.3.port.8.s.7.orderindex=-1
unit.1.3.port.8.s.7.visible=1
unit.1.3.port.8.s.8.alias=
unit.1.3.port.8.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.8.name=FIS_WORD_COUNT[8]
unit.1.3.port.8.s.8.orderindex=-1
unit.1.3.port.8.s.8.visible=1
unit.1.3.port.8.s.9.alias=
unit.1.3.port.8.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.8.s.9.name=FIS_WORD_COUNT[9]
unit.1.3.port.8.s.9.orderindex=-1
unit.1.3.port.8.s.9.visible=1
unit.1.3.port.9.b.0.alias=
unit.1.3.port.9.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.3.port.9.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.b.0.name=SCRAMBLER_COUNT
unit.1.3.port.9.b.0.orderindex=-1
unit.1.3.port.9.b.0.radix=Hex
unit.1.3.port.9.b.0.signedOffset=0.0
unit.1.3.port.9.b.0.signedPrecision=0
unit.1.3.port.9.b.0.signedScaleFactor=1.0
unit.1.3.port.9.b.0.unsignedOffset=0.0
unit.1.3.port.9.b.0.unsignedPrecision=0
unit.1.3.port.9.b.0.unsignedScaleFactor=1.0
unit.1.3.port.9.b.0.visible=1
unit.1.3.port.9.buscount=1
unit.1.3.port.9.channelcount=16
unit.1.3.port.9.s.0.alias=
unit.1.3.port.9.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.0.name=SCRAMBLER_COUNT[0]
unit.1.3.port.9.s.0.orderindex=-1
unit.1.3.port.9.s.0.visible=1
unit.1.3.port.9.s.1.alias=
unit.1.3.port.9.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.1.name=SCRAMBLER_COUNT[1]
unit.1.3.port.9.s.1.orderindex=-1
unit.1.3.port.9.s.1.visible=1
unit.1.3.port.9.s.10.alias=
unit.1.3.port.9.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.10.name=SCRAMBLER_COUNT[10]
unit.1.3.port.9.s.10.orderindex=-1
unit.1.3.port.9.s.10.visible=1
unit.1.3.port.9.s.11.alias=
unit.1.3.port.9.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.11.name=SCRAMBLER_COUNT[11]
unit.1.3.port.9.s.11.orderindex=-1
unit.1.3.port.9.s.11.visible=1
unit.1.3.port.9.s.12.alias=
unit.1.3.port.9.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.12.name=SCRAMBLER_COUNT[12]
unit.1.3.port.9.s.12.orderindex=-1
unit.1.3.port.9.s.12.visible=1
unit.1.3.port.9.s.13.alias=
unit.1.3.port.9.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.13.name=SCRAMBLER_COUNT[13]
unit.1.3.port.9.s.13.orderindex=-1
unit.1.3.port.9.s.13.visible=1
unit.1.3.port.9.s.14.alias=
unit.1.3.port.9.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.14.name=SCRAMBLER_COUNT[14]
unit.1.3.port.9.s.14.orderindex=-1
unit.1.3.port.9.s.14.visible=1
unit.1.3.port.9.s.15.alias=
unit.1.3.port.9.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.15.name=SCRAMBLER_COUNT[15]
unit.1.3.port.9.s.15.orderindex=-1
unit.1.3.port.9.s.15.visible=1
unit.1.3.port.9.s.2.alias=
unit.1.3.port.9.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.2.name=SCRAMBLER_COUNT[2]
unit.1.3.port.9.s.2.orderindex=-1
unit.1.3.port.9.s.2.visible=1
unit.1.3.port.9.s.3.alias=
unit.1.3.port.9.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.3.name=SCRAMBLER_COUNT[3]
unit.1.3.port.9.s.3.orderindex=-1
unit.1.3.port.9.s.3.visible=1
unit.1.3.port.9.s.4.alias=
unit.1.3.port.9.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.4.name=SCRAMBLER_COUNT[4]
unit.1.3.port.9.s.4.orderindex=-1
unit.1.3.port.9.s.4.visible=1
unit.1.3.port.9.s.5.alias=
unit.1.3.port.9.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.5.name=SCRAMBLER_COUNT[5]
unit.1.3.port.9.s.5.orderindex=-1
unit.1.3.port.9.s.5.visible=1
unit.1.3.port.9.s.6.alias=
unit.1.3.port.9.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.6.name=SCRAMBLER_COUNT[6]
unit.1.3.port.9.s.6.orderindex=-1
unit.1.3.port.9.s.6.visible=1
unit.1.3.port.9.s.7.alias=
unit.1.3.port.9.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.7.name=SCRAMBLER_COUNT[7]
unit.1.3.port.9.s.7.orderindex=-1
unit.1.3.port.9.s.7.visible=1
unit.1.3.port.9.s.8.alias=
unit.1.3.port.9.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.8.name=SCRAMBLER_COUNT[8]
unit.1.3.port.9.s.8.orderindex=-1
unit.1.3.port.9.s.8.visible=1
unit.1.3.port.9.s.9.alias=
unit.1.3.port.9.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.3.port.9.s.9.name=SCRAMBLER_COUNT[9]
unit.1.3.port.9.s.9.orderindex=-1
unit.1.3.port.9.s.9.visible=1
unit.1.3.portcount=16
unit.1.3.rep_trigger.clobber=1
unit.1.3.rep_trigger.dir=/home/aamendon/reports/phdtheses/aamendon/code/2010/sata_core/VERSION4/base_linux_nfs_fis_retry_REG_XRDY/chipscope
unit.1.3.rep_trigger.filename=waveform
unit.1.3.rep_trigger.format=ASCII
unit.1.3.rep_trigger.loggingEnabled=0
unit.1.3.rep_trigger.signals=All Signals/Buses
unit.1.3.samplesPerTrigger=1
unit.1.3.triggerCapture=1
unit.1.3.triggerNSamplesTS=0
unit.1.3.triggerPosition=700
unit.1.3.triggerWindowCount=1
unit.1.3.triggerWindowDepth=1024
unit.1.3.triggerWindowTS=0
unit.1.3.username=TX_FRAME
unit.1.3.waveform.count=47
unit.1.3.waveform.posn.0.channel=2147483646
unit.1.3.waveform.posn.0.name=TX_FIFO_DATA_COUNT
unit.1.3.waveform.posn.0.radix=4
unit.1.3.waveform.posn.0.type=bus
unit.1.3.waveform.posn.1.channel=2147483646
unit.1.3.waveform.posn.1.name=SCRAMBLER_COUNT_VALUE
unit.1.3.waveform.posn.1.radix=4
unit.1.3.waveform.posn.1.type=bus
unit.1.3.waveform.posn.10.channel=257
unit.1.3.waveform.posn.10.name=WRITE_FIFO_RE
unit.1.3.waveform.posn.10.radix=4
unit.1.3.waveform.posn.10.type=signal
unit.1.3.waveform.posn.100.channel=369
unit.1.3.waveform.posn.100.name=DataPort[369]
unit.1.3.waveform.posn.100.type=signal
unit.1.3.waveform.posn.101.channel=369
unit.1.3.waveform.posn.101.name=DataPort[369]
unit.1.3.waveform.posn.101.type=signal
unit.1.3.waveform.posn.102.channel=369
unit.1.3.waveform.posn.102.name=DataPort[369]
unit.1.3.waveform.posn.102.type=signal
unit.1.3.waveform.posn.103.channel=369
unit.1.3.waveform.posn.103.name=DataPort[369]
unit.1.3.waveform.posn.103.type=signal
unit.1.3.waveform.posn.104.channel=369
unit.1.3.waveform.posn.104.name=DataPort[369]
unit.1.3.waveform.posn.104.type=signal
unit.1.3.waveform.posn.105.channel=369
unit.1.3.waveform.posn.105.name=DataPort[369]
unit.1.3.waveform.posn.105.type=signal
unit.1.3.waveform.posn.106.channel=369
unit.1.3.waveform.posn.106.name=DataPort[369]
unit.1.3.waveform.posn.106.type=signal
unit.1.3.waveform.posn.107.channel=369
unit.1.3.waveform.posn.107.name=DataPort[369]
unit.1.3.waveform.posn.107.type=signal
unit.1.3.waveform.posn.108.channel=369
unit.1.3.waveform.posn.108.name=DataPort[369]
unit.1.3.waveform.posn.108.type=signal
unit.1.3.waveform.posn.109.channel=369
unit.1.3.waveform.posn.109.name=DataPort[369]
unit.1.3.waveform.posn.109.type=signal
unit.1.3.waveform.posn.11.channel=258
unit.1.3.waveform.posn.11.name=WRITE_FIFO_EMPTY
unit.1.3.waveform.posn.11.radix=1
unit.1.3.waveform.posn.11.type=signal
unit.1.3.waveform.posn.110.channel=369
unit.1.3.waveform.posn.110.name=DataPort[369]
unit.1.3.waveform.posn.110.type=signal
unit.1.3.waveform.posn.111.channel=369
unit.1.3.waveform.posn.111.name=DataPort[369]
unit.1.3.waveform.posn.111.type=signal
unit.1.3.waveform.posn.112.channel=369
unit.1.3.waveform.posn.112.name=DataPort[369]
unit.1.3.waveform.posn.112.type=signal
unit.1.3.waveform.posn.113.channel=369
unit.1.3.waveform.posn.113.name=DataPort[369]
unit.1.3.waveform.posn.113.type=signal
unit.1.3.waveform.posn.114.channel=369
unit.1.3.waveform.posn.114.name=DataPort[369]
unit.1.3.waveform.posn.114.type=signal
unit.1.3.waveform.posn.115.channel=369
unit.1.3.waveform.posn.115.name=DataPort[369]
unit.1.3.waveform.posn.115.type=signal
unit.1.3.waveform.posn.116.channel=369
unit.1.3.waveform.posn.116.name=DataPort[369]
unit.1.3.waveform.posn.116.type=signal
unit.1.3.waveform.posn.117.channel=369
unit.1.3.waveform.posn.117.name=DataPort[369]
unit.1.3.waveform.posn.117.type=signal
unit.1.3.waveform.posn.118.channel=369
unit.1.3.waveform.posn.118.name=DataPort[369]
unit.1.3.waveform.posn.118.type=signal
unit.1.3.waveform.posn.119.channel=369
unit.1.3.waveform.posn.119.name=DataPort[369]
unit.1.3.waveform.posn.119.type=signal
unit.1.3.waveform.posn.12.channel=2147483646
unit.1.3.waveform.posn.12.name=TX_FIFO_DIN
unit.1.3.waveform.posn.12.radix=1
unit.1.3.waveform.posn.12.type=bus
unit.1.3.waveform.posn.120.channel=369
unit.1.3.waveform.posn.120.name=DataPort[369]
unit.1.3.waveform.posn.120.type=signal
unit.1.3.waveform.posn.121.channel=369
unit.1.3.waveform.posn.121.name=DataPort[369]
unit.1.3.waveform.posn.121.type=signal
unit.1.3.waveform.posn.122.channel=369
unit.1.3.waveform.posn.122.name=DataPort[369]
unit.1.3.waveform.posn.122.type=signal
unit.1.3.waveform.posn.123.channel=369
unit.1.3.waveform.posn.123.name=DataPort[369]
unit.1.3.waveform.posn.123.type=signal
unit.1.3.waveform.posn.124.channel=369
unit.1.3.waveform.posn.124.name=DataPort[369]
unit.1.3.waveform.posn.124.type=signal
unit.1.3.waveform.posn.125.channel=369
unit.1.3.waveform.posn.125.name=DataPort[369]
unit.1.3.waveform.posn.125.type=signal
unit.1.3.waveform.posn.126.channel=369
unit.1.3.waveform.posn.126.name=DataPort[369]
unit.1.3.waveform.posn.126.type=signal
unit.1.3.waveform.posn.127.channel=369
unit.1.3.waveform.posn.127.name=DataPort[369]
unit.1.3.waveform.posn.127.type=signal
unit.1.3.waveform.posn.128.channel=369
unit.1.3.waveform.posn.128.name=DataPort[369]
unit.1.3.waveform.posn.128.type=signal
unit.1.3.waveform.posn.129.channel=369
unit.1.3.waveform.posn.129.name=DataPort[369]
unit.1.3.waveform.posn.129.type=signal
unit.1.3.waveform.posn.13.channel=2147483646
unit.1.3.waveform.posn.13.name=MASTER_FSM_VALUE
unit.1.3.waveform.posn.13.radix=1
unit.1.3.waveform.posn.13.type=bus
unit.1.3.waveform.posn.130.channel=369
unit.1.3.waveform.posn.130.name=DataPort[369]
unit.1.3.waveform.posn.130.type=signal
unit.1.3.waveform.posn.131.channel=369
unit.1.3.waveform.posn.131.name=DataPort[369]
unit.1.3.waveform.posn.131.type=signal
unit.1.3.waveform.posn.132.channel=369
unit.1.3.waveform.posn.132.name=DataPort[369]
unit.1.3.waveform.posn.132.type=signal
unit.1.3.waveform.posn.133.channel=369
unit.1.3.waveform.posn.133.name=DataPort[369]
unit.1.3.waveform.posn.133.type=signal
unit.1.3.waveform.posn.134.channel=369
unit.1.3.waveform.posn.134.name=DataPort[369]
unit.1.3.waveform.posn.134.type=signal
unit.1.3.waveform.posn.135.channel=369
unit.1.3.waveform.posn.135.name=DataPort[369]
unit.1.3.waveform.posn.135.type=signal
unit.1.3.waveform.posn.136.channel=369
unit.1.3.waveform.posn.136.name=DataPort[369]
unit.1.3.waveform.posn.136.type=signal
unit.1.3.waveform.posn.137.channel=369
unit.1.3.waveform.posn.137.name=DataPort[369]
unit.1.3.waveform.posn.137.type=signal
unit.1.3.waveform.posn.138.channel=369
unit.1.3.waveform.posn.138.name=DataPort[369]
unit.1.3.waveform.posn.138.type=signal
unit.1.3.waveform.posn.139.channel=369
unit.1.3.waveform.posn.139.name=DataPort[369]
unit.1.3.waveform.posn.139.type=signal
unit.1.3.waveform.posn.14.channel=2147483646
unit.1.3.waveform.posn.14.name=TX_FIFO_DOUT
unit.1.3.waveform.posn.14.radix=1
unit.1.3.waveform.posn.14.type=bus
unit.1.3.waveform.posn.140.channel=369
unit.1.3.waveform.posn.140.name=DataPort[369]
unit.1.3.waveform.posn.140.type=signal
unit.1.3.waveform.posn.141.channel=369
unit.1.3.waveform.posn.141.name=DataPort[369]
unit.1.3.waveform.posn.141.type=signal
unit.1.3.waveform.posn.142.channel=369
unit.1.3.waveform.posn.142.name=DataPort[369]
unit.1.3.waveform.posn.142.type=signal
unit.1.3.waveform.posn.143.channel=369
unit.1.3.waveform.posn.143.name=DataPort[369]
unit.1.3.waveform.posn.143.type=signal
unit.1.3.waveform.posn.144.channel=369
unit.1.3.waveform.posn.144.name=DataPort[369]
unit.1.3.waveform.posn.144.type=signal
unit.1.3.waveform.posn.145.channel=369
unit.1.3.waveform.posn.145.name=DataPort[369]
unit.1.3.waveform.posn.145.type=signal
unit.1.3.waveform.posn.146.channel=369
unit.1.3.waveform.posn.146.name=DataPort[369]
unit.1.3.waveform.posn.146.type=signal
unit.1.3.waveform.posn.147.channel=369
unit.1.3.waveform.posn.147.name=DataPort[369]
unit.1.3.waveform.posn.147.type=signal
unit.1.3.waveform.posn.148.channel=369
unit.1.3.waveform.posn.148.name=DataPort[369]
unit.1.3.waveform.posn.148.type=signal
unit.1.3.waveform.posn.149.channel=369
unit.1.3.waveform.posn.149.name=DataPort[369]
unit.1.3.waveform.posn.149.type=signal
unit.1.3.waveform.posn.15.channel=2147483646
unit.1.3.waveform.posn.15.name=RX_DATAIN
unit.1.3.waveform.posn.15.radix=1
unit.1.3.waveform.posn.15.type=bus
unit.1.3.waveform.posn.150.channel=369
unit.1.3.waveform.posn.150.name=DataPort[369]
unit.1.3.waveform.posn.150.type=signal
unit.1.3.waveform.posn.151.channel=369
unit.1.3.waveform.posn.151.name=DataPort[369]
unit.1.3.waveform.posn.151.type=signal
unit.1.3.waveform.posn.152.channel=369
unit.1.3.waveform.posn.152.name=DataPort[369]
unit.1.3.waveform.posn.152.type=signal
unit.1.3.waveform.posn.153.channel=369
unit.1.3.waveform.posn.153.name=DataPort[369]
unit.1.3.waveform.posn.153.type=signal
unit.1.3.waveform.posn.154.channel=369
unit.1.3.waveform.posn.154.name=DataPort[369]
unit.1.3.waveform.posn.154.type=signal
unit.1.3.waveform.posn.155.channel=369
unit.1.3.waveform.posn.155.name=DataPort[369]
unit.1.3.waveform.posn.155.type=signal
unit.1.3.waveform.posn.156.channel=369
unit.1.3.waveform.posn.156.name=DataPort[369]
unit.1.3.waveform.posn.156.type=signal
unit.1.3.waveform.posn.157.channel=369
unit.1.3.waveform.posn.157.name=DataPort[369]
unit.1.3.waveform.posn.157.type=signal
unit.1.3.waveform.posn.158.channel=369
unit.1.3.waveform.posn.158.name=DataPort[369]
unit.1.3.waveform.posn.158.type=signal
unit.1.3.waveform.posn.159.channel=369
unit.1.3.waveform.posn.159.name=DataPort[369]
unit.1.3.waveform.posn.159.type=signal
unit.1.3.waveform.posn.16.channel=2147483646
unit.1.3.waveform.posn.16.name=TX_DATAOUT
unit.1.3.waveform.posn.16.radix=1
unit.1.3.waveform.posn.16.type=bus
unit.1.3.waveform.posn.160.channel=369
unit.1.3.waveform.posn.160.name=DataPort[369]
unit.1.3.waveform.posn.160.type=signal
unit.1.3.waveform.posn.161.channel=369
unit.1.3.waveform.posn.161.name=DataPort[369]
unit.1.3.waveform.posn.161.type=signal
unit.1.3.waveform.posn.162.channel=369
unit.1.3.waveform.posn.162.name=DataPort[369]
unit.1.3.waveform.posn.162.type=signal
unit.1.3.waveform.posn.163.channel=369
unit.1.3.waveform.posn.163.name=DataPort[369]
unit.1.3.waveform.posn.163.type=signal
unit.1.3.waveform.posn.164.channel=369
unit.1.3.waveform.posn.164.name=DataPort[369]
unit.1.3.waveform.posn.164.type=signal
unit.1.3.waveform.posn.165.channel=369
unit.1.3.waveform.posn.165.name=DataPort[369]
unit.1.3.waveform.posn.165.type=signal
unit.1.3.waveform.posn.166.channel=369
unit.1.3.waveform.posn.166.name=DataPort[369]
unit.1.3.waveform.posn.166.type=signal
unit.1.3.waveform.posn.167.channel=369
unit.1.3.waveform.posn.167.name=DataPort[369]
unit.1.3.waveform.posn.167.type=signal
unit.1.3.waveform.posn.168.channel=369
unit.1.3.waveform.posn.168.name=DataPort[369]
unit.1.3.waveform.posn.168.type=signal
unit.1.3.waveform.posn.169.channel=369
unit.1.3.waveform.posn.169.name=DataPort[369]
unit.1.3.waveform.posn.169.type=signal
unit.1.3.waveform.posn.17.channel=2147483646
unit.1.3.waveform.posn.17.name=TX_FRAME_VALUE
unit.1.3.waveform.posn.17.radix=1
unit.1.3.waveform.posn.17.type=bus
unit.1.3.waveform.posn.170.channel=369
unit.1.3.waveform.posn.170.name=DataPort[369]
unit.1.3.waveform.posn.170.type=signal
unit.1.3.waveform.posn.171.channel=369
unit.1.3.waveform.posn.171.name=DataPort[369]
unit.1.3.waveform.posn.171.type=signal
unit.1.3.waveform.posn.172.channel=369
unit.1.3.waveform.posn.172.name=DataPort[369]
unit.1.3.waveform.posn.172.type=signal
unit.1.3.waveform.posn.173.channel=369
unit.1.3.waveform.posn.173.name=DataPort[369]
unit.1.3.waveform.posn.173.type=signal
unit.1.3.waveform.posn.174.channel=369
unit.1.3.waveform.posn.174.name=DataPort[369]
unit.1.3.waveform.posn.174.type=signal
unit.1.3.waveform.posn.175.channel=369
unit.1.3.waveform.posn.175.name=DataPort[369]
unit.1.3.waveform.posn.175.type=signal
unit.1.3.waveform.posn.176.channel=369
unit.1.3.waveform.posn.176.name=DataPort[369]
unit.1.3.waveform.posn.176.type=signal
unit.1.3.waveform.posn.177.channel=369
unit.1.3.waveform.posn.177.name=DataPort[369]
unit.1.3.waveform.posn.177.type=signal
unit.1.3.waveform.posn.178.channel=369
unit.1.3.waveform.posn.178.name=DataPort[369]
unit.1.3.waveform.posn.178.type=signal
unit.1.3.waveform.posn.179.channel=369
unit.1.3.waveform.posn.179.name=DataPort[369]
unit.1.3.waveform.posn.179.type=signal
unit.1.3.waveform.posn.18.channel=232
unit.1.3.waveform.posn.18.name=TX_FIFO_WE
unit.1.3.waveform.posn.18.radix=1
unit.1.3.waveform.posn.18.type=signal
unit.1.3.waveform.posn.180.channel=369
unit.1.3.waveform.posn.180.name=DataPort[369]
unit.1.3.waveform.posn.180.type=signal
unit.1.3.waveform.posn.181.channel=369
unit.1.3.waveform.posn.181.name=DataPort[369]
unit.1.3.waveform.posn.181.type=signal
unit.1.3.waveform.posn.182.channel=369
unit.1.3.waveform.posn.182.name=DataPort[369]
unit.1.3.waveform.posn.182.type=signal
unit.1.3.waveform.posn.183.channel=369
unit.1.3.waveform.posn.183.name=DataPort[369]
unit.1.3.waveform.posn.183.type=signal
unit.1.3.waveform.posn.184.channel=369
unit.1.3.waveform.posn.184.name=DataPort[369]
unit.1.3.waveform.posn.184.type=signal
unit.1.3.waveform.posn.185.channel=369
unit.1.3.waveform.posn.185.name=DataPort[369]
unit.1.3.waveform.posn.185.type=signal
unit.1.3.waveform.posn.186.channel=369
unit.1.3.waveform.posn.186.name=DataPort[369]
unit.1.3.waveform.posn.186.type=signal
unit.1.3.waveform.posn.187.channel=369
unit.1.3.waveform.posn.187.name=DataPort[369]
unit.1.3.waveform.posn.187.type=signal
unit.1.3.waveform.posn.188.channel=369
unit.1.3.waveform.posn.188.name=DataPort[369]
unit.1.3.waveform.posn.188.type=signal
unit.1.3.waveform.posn.189.channel=369
unit.1.3.waveform.posn.189.name=DataPort[369]
unit.1.3.waveform.posn.189.type=signal
unit.1.3.waveform.posn.19.channel=233
unit.1.3.waveform.posn.19.name=TX_FIFO_RE
unit.1.3.waveform.posn.19.radix=1
unit.1.3.waveform.posn.19.type=signal
unit.1.3.waveform.posn.190.channel=369
unit.1.3.waveform.posn.190.name=DataPort[369]
unit.1.3.waveform.posn.190.type=signal
unit.1.3.waveform.posn.191.channel=369
unit.1.3.waveform.posn.191.name=DataPort[369]
unit.1.3.waveform.posn.191.type=signal
unit.1.3.waveform.posn.192.channel=369
unit.1.3.waveform.posn.192.name=DataPort[369]
unit.1.3.waveform.posn.192.type=signal
unit.1.3.waveform.posn.193.channel=369
unit.1.3.waveform.posn.193.name=DataPort[369]
unit.1.3.waveform.posn.193.type=signal
unit.1.3.waveform.posn.194.channel=369
unit.1.3.waveform.posn.194.name=DataPort[369]
unit.1.3.waveform.posn.194.type=signal
unit.1.3.waveform.posn.195.channel=369
unit.1.3.waveform.posn.195.name=DataPort[369]
unit.1.3.waveform.posn.195.type=signal
unit.1.3.waveform.posn.196.channel=369
unit.1.3.waveform.posn.196.name=DataPort[369]
unit.1.3.waveform.posn.196.type=signal
unit.1.3.waveform.posn.197.channel=369
unit.1.3.waveform.posn.197.name=DataPort[369]
unit.1.3.waveform.posn.197.type=signal
unit.1.3.waveform.posn.198.channel=369
unit.1.3.waveform.posn.198.name=DataPort[369]
unit.1.3.waveform.posn.198.type=signal
unit.1.3.waveform.posn.199.channel=369
unit.1.3.waveform.posn.199.name=DataPort[369]
unit.1.3.waveform.posn.199.type=signal
unit.1.3.waveform.posn.2.channel=2147483646
unit.1.3.waveform.posn.2.name=TX_SECTOR_COUNT
unit.1.3.waveform.posn.2.radix=4
unit.1.3.waveform.posn.2.type=bus
unit.1.3.waveform.posn.20.channel=243
unit.1.3.waveform.posn.20.name=TX_FIFO_ALMOST_EMPTY
unit.1.3.waveform.posn.20.radix=1
unit.1.3.waveform.posn.20.type=signal
unit.1.3.waveform.posn.200.channel=369
unit.1.3.waveform.posn.200.name=DataPort[369]
unit.1.3.waveform.posn.200.type=signal
unit.1.3.waveform.posn.201.channel=369
unit.1.3.waveform.posn.201.name=DataPort[369]
unit.1.3.waveform.posn.201.type=signal
unit.1.3.waveform.posn.202.channel=369
unit.1.3.waveform.posn.202.name=DataPort[369]
unit.1.3.waveform.posn.202.type=signal
unit.1.3.waveform.posn.203.channel=369
unit.1.3.waveform.posn.203.name=DataPort[369]
unit.1.3.waveform.posn.203.type=signal
unit.1.3.waveform.posn.204.channel=369
unit.1.3.waveform.posn.204.name=DataPort[369]
unit.1.3.waveform.posn.204.type=signal
unit.1.3.waveform.posn.205.channel=369
unit.1.3.waveform.posn.205.name=DataPort[369]
unit.1.3.waveform.posn.205.type=signal
unit.1.3.waveform.posn.206.channel=369
unit.1.3.waveform.posn.206.name=DataPort[369]
unit.1.3.waveform.posn.206.type=signal
unit.1.3.waveform.posn.207.channel=369
unit.1.3.waveform.posn.207.name=DataPort[369]
unit.1.3.waveform.posn.207.type=signal
unit.1.3.waveform.posn.208.channel=369
unit.1.3.waveform.posn.208.name=DataPort[369]
unit.1.3.waveform.posn.208.type=signal
unit.1.3.waveform.posn.209.channel=369
unit.1.3.waveform.posn.209.name=DataPort[369]
unit.1.3.waveform.posn.209.type=signal
unit.1.3.waveform.posn.21.channel=245
unit.1.3.waveform.posn.21.name=TX_FIFO_EMPTY
unit.1.3.waveform.posn.21.radix=1
unit.1.3.waveform.posn.21.type=signal
unit.1.3.waveform.posn.210.channel=369
unit.1.3.waveform.posn.210.name=DataPort[369]
unit.1.3.waveform.posn.210.type=signal
unit.1.3.waveform.posn.211.channel=369
unit.1.3.waveform.posn.211.name=DataPort[369]
unit.1.3.waveform.posn.211.type=signal
unit.1.3.waveform.posn.212.channel=369
unit.1.3.waveform.posn.212.name=DataPort[369]
unit.1.3.waveform.posn.212.type=signal
unit.1.3.waveform.posn.213.channel=369
unit.1.3.waveform.posn.213.name=DataPort[369]
unit.1.3.waveform.posn.213.type=signal
unit.1.3.waveform.posn.214.channel=369
unit.1.3.waveform.posn.214.name=DataPort[369]
unit.1.3.waveform.posn.214.type=signal
unit.1.3.waveform.posn.215.channel=369
unit.1.3.waveform.posn.215.name=DataPort[369]
unit.1.3.waveform.posn.215.type=signal
unit.1.3.waveform.posn.216.channel=369
unit.1.3.waveform.posn.216.name=DataPort[369]
unit.1.3.waveform.posn.216.type=signal
unit.1.3.waveform.posn.217.channel=369
unit.1.3.waveform.posn.217.name=DataPort[369]
unit.1.3.waveform.posn.217.type=signal
unit.1.3.waveform.posn.218.channel=369
unit.1.3.waveform.posn.218.name=DataPort[369]
unit.1.3.waveform.posn.218.type=signal
unit.1.3.waveform.posn.219.channel=369
unit.1.3.waveform.posn.219.name=DataPort[369]
unit.1.3.waveform.posn.219.type=signal
unit.1.3.waveform.posn.22.channel=234
unit.1.3.waveform.posn.22.name=TX_FIFO_FULL
unit.1.3.waveform.posn.22.type=signal
unit.1.3.waveform.posn.220.channel=369
unit.1.3.waveform.posn.220.name=DataPort[369]
unit.1.3.waveform.posn.220.type=signal
unit.1.3.waveform.posn.221.channel=369
unit.1.3.waveform.posn.221.name=DataPort[369]
unit.1.3.waveform.posn.221.type=signal
unit.1.3.waveform.posn.222.channel=369
unit.1.3.waveform.posn.222.name=DataPort[369]
unit.1.3.waveform.posn.222.type=signal
unit.1.3.waveform.posn.223.channel=369
unit.1.3.waveform.posn.223.name=DataPort[369]
unit.1.3.waveform.posn.223.type=signal
unit.1.3.waveform.posn.224.channel=369
unit.1.3.waveform.posn.224.name=DataPort[369]
unit.1.3.waveform.posn.224.type=signal
unit.1.3.waveform.posn.225.channel=369
unit.1.3.waveform.posn.225.name=DataPort[369]
unit.1.3.waveform.posn.225.type=signal
unit.1.3.waveform.posn.226.channel=369
unit.1.3.waveform.posn.226.name=DataPort[369]
unit.1.3.waveform.posn.226.type=signal
unit.1.3.waveform.posn.227.channel=369
unit.1.3.waveform.posn.227.name=DataPort[369]
unit.1.3.waveform.posn.227.type=signal
unit.1.3.waveform.posn.228.channel=369
unit.1.3.waveform.posn.228.name=DataPort[369]
unit.1.3.waveform.posn.228.type=signal
unit.1.3.waveform.posn.229.channel=369
unit.1.3.waveform.posn.229.name=DataPort[369]
unit.1.3.waveform.posn.229.type=signal
unit.1.3.waveform.posn.23.channel=235
unit.1.3.waveform.posn.23.name=ALIGN_EN_OUT
unit.1.3.waveform.posn.23.type=signal
unit.1.3.waveform.posn.230.channel=369
unit.1.3.waveform.posn.230.name=DataPort[369]
unit.1.3.waveform.posn.230.type=signal
unit.1.3.waveform.posn.231.channel=369
unit.1.3.waveform.posn.231.name=DataPort[369]
unit.1.3.waveform.posn.231.type=signal
unit.1.3.waveform.posn.232.channel=369
unit.1.3.waveform.posn.232.name=DataPort[369]
unit.1.3.waveform.posn.232.type=signal
unit.1.3.waveform.posn.233.channel=369
unit.1.3.waveform.posn.233.name=DataPort[369]
unit.1.3.waveform.posn.233.type=signal
unit.1.3.waveform.posn.234.channel=369
unit.1.3.waveform.posn.234.name=DataPort[369]
unit.1.3.waveform.posn.234.type=signal
unit.1.3.waveform.posn.235.channel=369
unit.1.3.waveform.posn.235.name=DataPort[369]
unit.1.3.waveform.posn.235.type=signal
unit.1.3.waveform.posn.236.channel=369
unit.1.3.waveform.posn.236.name=DataPort[369]
unit.1.3.waveform.posn.236.type=signal
unit.1.3.waveform.posn.237.channel=369
unit.1.3.waveform.posn.237.name=DataPort[369]
unit.1.3.waveform.posn.237.type=signal
unit.1.3.waveform.posn.238.channel=369
unit.1.3.waveform.posn.238.name=DataPort[369]
unit.1.3.waveform.posn.238.type=signal
unit.1.3.waveform.posn.239.channel=369
unit.1.3.waveform.posn.239.name=DataPort[369]
unit.1.3.waveform.posn.239.type=signal
unit.1.3.waveform.posn.24.channel=236
unit.1.3.waveform.posn.24.name=SYNC_DET
unit.1.3.waveform.posn.24.type=signal
unit.1.3.waveform.posn.240.channel=369
unit.1.3.waveform.posn.240.name=DataPort[369]
unit.1.3.waveform.posn.240.type=signal
unit.1.3.waveform.posn.241.channel=369
unit.1.3.waveform.posn.241.name=DataPort[369]
unit.1.3.waveform.posn.241.type=signal
unit.1.3.waveform.posn.242.channel=369
unit.1.3.waveform.posn.242.name=DataPort[369]
unit.1.3.waveform.posn.242.type=signal
unit.1.3.waveform.posn.243.channel=369
unit.1.3.waveform.posn.243.name=DataPort[369]
unit.1.3.waveform.posn.243.type=signal
unit.1.3.waveform.posn.244.channel=369
unit.1.3.waveform.posn.244.name=DataPort[369]
unit.1.3.waveform.posn.244.type=signal
unit.1.3.waveform.posn.245.channel=369
unit.1.3.waveform.posn.245.name=DataPort[369]
unit.1.3.waveform.posn.245.type=signal
unit.1.3.waveform.posn.246.channel=369
unit.1.3.waveform.posn.246.name=DataPort[369]
unit.1.3.waveform.posn.246.type=signal
unit.1.3.waveform.posn.247.channel=369
unit.1.3.waveform.posn.247.name=DataPort[369]
unit.1.3.waveform.posn.247.type=signal
unit.1.3.waveform.posn.248.channel=369
unit.1.3.waveform.posn.248.name=DataPort[369]
unit.1.3.waveform.posn.248.type=signal
unit.1.3.waveform.posn.249.channel=369
unit.1.3.waveform.posn.249.name=DataPort[369]
unit.1.3.waveform.posn.249.type=signal
unit.1.3.waveform.posn.25.channel=237
unit.1.3.waveform.posn.25.name=R_RDY_DET
unit.1.3.waveform.posn.25.type=signal
unit.1.3.waveform.posn.250.channel=369
unit.1.3.waveform.posn.250.name=DataPort[369]
unit.1.3.waveform.posn.250.type=signal
unit.1.3.waveform.posn.251.channel=369
unit.1.3.waveform.posn.251.name=DataPort[369]
unit.1.3.waveform.posn.251.type=signal
unit.1.3.waveform.posn.252.channel=369
unit.1.3.waveform.posn.252.name=DataPort[369]
unit.1.3.waveform.posn.252.type=signal
unit.1.3.waveform.posn.253.channel=369
unit.1.3.waveform.posn.253.name=DataPort[369]
unit.1.3.waveform.posn.253.type=signal
unit.1.3.waveform.posn.254.channel=369
unit.1.3.waveform.posn.254.name=DataPort[369]
unit.1.3.waveform.posn.254.type=signal
unit.1.3.waveform.posn.255.channel=369
unit.1.3.waveform.posn.255.name=DataPort[369]
unit.1.3.waveform.posn.255.type=signal
unit.1.3.waveform.posn.256.channel=369
unit.1.3.waveform.posn.256.name=DataPort[369]
unit.1.3.waveform.posn.256.type=signal
unit.1.3.waveform.posn.257.channel=369
unit.1.3.waveform.posn.257.name=DataPort[369]
unit.1.3.waveform.posn.257.type=signal
unit.1.3.waveform.posn.258.channel=369
unit.1.3.waveform.posn.258.name=DataPort[369]
unit.1.3.waveform.posn.258.type=signal
unit.1.3.waveform.posn.259.channel=369
unit.1.3.waveform.posn.259.name=DataPort[369]
unit.1.3.waveform.posn.259.type=signal
unit.1.3.waveform.posn.26.channel=238
unit.1.3.waveform.posn.26.name=R_IP_DET
unit.1.3.waveform.posn.26.type=signal
unit.1.3.waveform.posn.260.channel=369
unit.1.3.waveform.posn.260.name=DataPort[369]
unit.1.3.waveform.posn.260.type=signal
unit.1.3.waveform.posn.261.channel=369
unit.1.3.waveform.posn.261.name=DataPort[369]
unit.1.3.waveform.posn.261.type=signal
unit.1.3.waveform.posn.262.channel=369
unit.1.3.waveform.posn.262.name=DataPort[369]
unit.1.3.waveform.posn.262.type=signal
unit.1.3.waveform.posn.263.channel=369
unit.1.3.waveform.posn.263.name=DataPort[369]
unit.1.3.waveform.posn.263.type=signal
unit.1.3.waveform.posn.264.channel=369
unit.1.3.waveform.posn.264.name=DataPort[369]
unit.1.3.waveform.posn.264.type=signal
unit.1.3.waveform.posn.265.channel=369
unit.1.3.waveform.posn.265.name=DataPort[369]
unit.1.3.waveform.posn.265.type=signal
unit.1.3.waveform.posn.266.channel=369
unit.1.3.waveform.posn.266.name=DataPort[369]
unit.1.3.waveform.posn.266.type=signal
unit.1.3.waveform.posn.267.channel=369
unit.1.3.waveform.posn.267.name=DataPort[369]
unit.1.3.waveform.posn.267.type=signal
unit.1.3.waveform.posn.268.channel=369
unit.1.3.waveform.posn.268.name=DataPort[369]
unit.1.3.waveform.posn.268.type=signal
unit.1.3.waveform.posn.269.channel=369
unit.1.3.waveform.posn.269.name=DataPort[369]
unit.1.3.waveform.posn.269.type=signal
unit.1.3.waveform.posn.27.channel=239
unit.1.3.waveform.posn.27.name=R_OK_DET
unit.1.3.waveform.posn.27.type=signal
unit.1.3.waveform.posn.270.channel=369
unit.1.3.waveform.posn.270.name=DataPort[369]
unit.1.3.waveform.posn.270.type=signal
unit.1.3.waveform.posn.271.channel=369
unit.1.3.waveform.posn.271.name=DataPort[369]
unit.1.3.waveform.posn.271.type=signal
unit.1.3.waveform.posn.272.channel=369
unit.1.3.waveform.posn.272.name=DataPort[369]
unit.1.3.waveform.posn.272.type=signal
unit.1.3.waveform.posn.273.channel=369
unit.1.3.waveform.posn.273.name=DataPort[369]
unit.1.3.waveform.posn.273.type=signal
unit.1.3.waveform.posn.274.channel=369
unit.1.3.waveform.posn.274.name=DataPort[369]
unit.1.3.waveform.posn.274.type=signal
unit.1.3.waveform.posn.275.channel=369
unit.1.3.waveform.posn.275.name=DataPort[369]
unit.1.3.waveform.posn.275.type=signal
unit.1.3.waveform.posn.276.channel=369
unit.1.3.waveform.posn.276.name=DataPort[369]
unit.1.3.waveform.posn.276.type=signal
unit.1.3.waveform.posn.277.channel=369
unit.1.3.waveform.posn.277.name=DataPort[369]
unit.1.3.waveform.posn.277.type=signal
unit.1.3.waveform.posn.278.channel=369
unit.1.3.waveform.posn.278.name=DataPort[369]
unit.1.3.waveform.posn.278.type=signal
unit.1.3.waveform.posn.279.channel=369
unit.1.3.waveform.posn.279.name=DataPort[369]
unit.1.3.waveform.posn.279.type=signal
unit.1.3.waveform.posn.28.channel=240
unit.1.3.waveform.posn.28.name=R_ERR_DET
unit.1.3.waveform.posn.28.type=signal
unit.1.3.waveform.posn.280.channel=369
unit.1.3.waveform.posn.280.name=DataPort[369]
unit.1.3.waveform.posn.280.type=signal
unit.1.3.waveform.posn.281.channel=369
unit.1.3.waveform.posn.281.name=DataPort[369]
unit.1.3.waveform.posn.281.type=signal
unit.1.3.waveform.posn.282.channel=369
unit.1.3.waveform.posn.282.name=DataPort[369]
unit.1.3.waveform.posn.282.type=signal
unit.1.3.waveform.posn.283.channel=369
unit.1.3.waveform.posn.283.name=DataPort[369]
unit.1.3.waveform.posn.283.type=signal
unit.1.3.waveform.posn.284.channel=369
unit.1.3.waveform.posn.284.name=DataPort[369]
unit.1.3.waveform.posn.284.type=signal
unit.1.3.waveform.posn.285.channel=369
unit.1.3.waveform.posn.285.name=DataPort[369]
unit.1.3.waveform.posn.285.type=signal
unit.1.3.waveform.posn.286.channel=369
unit.1.3.waveform.posn.286.name=DataPort[369]
unit.1.3.waveform.posn.286.type=signal
unit.1.3.waveform.posn.287.channel=369
unit.1.3.waveform.posn.287.name=DataPort[369]
unit.1.3.waveform.posn.287.type=signal
unit.1.3.waveform.posn.288.channel=369
unit.1.3.waveform.posn.288.name=DataPort[369]
unit.1.3.waveform.posn.288.type=signal
unit.1.3.waveform.posn.289.channel=369
unit.1.3.waveform.posn.289.name=DataPort[369]
unit.1.3.waveform.posn.289.type=signal
unit.1.3.waveform.posn.29.channel=241
unit.1.3.waveform.posn.29.name=START_TX
unit.1.3.waveform.posn.29.type=signal
unit.1.3.waveform.posn.290.channel=369
unit.1.3.waveform.posn.290.name=DataPort[369]
unit.1.3.waveform.posn.290.type=signal
unit.1.3.waveform.posn.291.channel=369
unit.1.3.waveform.posn.291.name=DataPort[369]
unit.1.3.waveform.posn.291.type=signal
unit.1.3.waveform.posn.292.channel=369
unit.1.3.waveform.posn.292.name=DataPort[369]
unit.1.3.waveform.posn.292.type=signal
unit.1.3.waveform.posn.293.channel=369
unit.1.3.waveform.posn.293.name=DataPort[369]
unit.1.3.waveform.posn.293.type=signal
unit.1.3.waveform.posn.294.channel=369
unit.1.3.waveform.posn.294.name=DataPort[369]
unit.1.3.waveform.posn.294.type=signal
unit.1.3.waveform.posn.295.channel=369
unit.1.3.waveform.posn.295.name=DataPort[369]
unit.1.3.waveform.posn.295.type=signal
unit.1.3.waveform.posn.296.channel=369
unit.1.3.waveform.posn.296.name=DataPort[369]
unit.1.3.waveform.posn.296.type=signal
unit.1.3.waveform.posn.297.channel=369
unit.1.3.waveform.posn.297.name=DataPort[369]
unit.1.3.waveform.posn.297.type=signal
unit.1.3.waveform.posn.298.channel=369
unit.1.3.waveform.posn.298.name=DataPort[369]
unit.1.3.waveform.posn.298.type=signal
unit.1.3.waveform.posn.299.channel=369
unit.1.3.waveform.posn.299.name=DataPort[369]
unit.1.3.waveform.posn.299.type=signal
unit.1.3.waveform.posn.3.channel=2147483646
unit.1.3.waveform.posn.3.name=CRC_DOUT
unit.1.3.waveform.posn.3.radix=1
unit.1.3.waveform.posn.3.type=bus
unit.1.3.waveform.posn.30.channel=242
unit.1.3.waveform.posn.30.name=TX_DONE
unit.1.3.waveform.posn.30.type=signal
unit.1.3.waveform.posn.300.channel=369
unit.1.3.waveform.posn.300.name=DataPort[369]
unit.1.3.waveform.posn.300.type=signal
unit.1.3.waveform.posn.301.channel=369
unit.1.3.waveform.posn.301.name=DataPort[369]
unit.1.3.waveform.posn.301.type=signal
unit.1.3.waveform.posn.302.channel=369
unit.1.3.waveform.posn.302.name=DataPort[369]
unit.1.3.waveform.posn.302.type=signal
unit.1.3.waveform.posn.303.channel=369
unit.1.3.waveform.posn.303.name=DataPort[369]
unit.1.3.waveform.posn.303.type=signal
unit.1.3.waveform.posn.304.channel=369
unit.1.3.waveform.posn.304.name=DataPort[369]
unit.1.3.waveform.posn.304.type=signal
unit.1.3.waveform.posn.305.channel=369
unit.1.3.waveform.posn.305.name=DataPort[369]
unit.1.3.waveform.posn.305.type=signal
unit.1.3.waveform.posn.306.channel=369
unit.1.3.waveform.posn.306.name=DataPort[369]
unit.1.3.waveform.posn.306.type=signal
unit.1.3.waveform.posn.307.channel=369
unit.1.3.waveform.posn.307.name=DataPort[369]
unit.1.3.waveform.posn.307.type=signal
unit.1.3.waveform.posn.308.channel=369
unit.1.3.waveform.posn.308.name=DataPort[369]
unit.1.3.waveform.posn.308.type=signal
unit.1.3.waveform.posn.309.channel=369
unit.1.3.waveform.posn.309.name=DataPort[369]
unit.1.3.waveform.posn.309.type=signal
unit.1.3.waveform.posn.31.channel=244
unit.1.3.waveform.posn.31.name=TX_CHARISK_OUT
unit.1.3.waveform.posn.31.type=signal
unit.1.3.waveform.posn.310.channel=369
unit.1.3.waveform.posn.310.name=DataPort[369]
unit.1.3.waveform.posn.310.type=signal
unit.1.3.waveform.posn.311.channel=369
unit.1.3.waveform.posn.311.name=DataPort[369]
unit.1.3.waveform.posn.311.type=signal
unit.1.3.waveform.posn.312.channel=369
unit.1.3.waveform.posn.312.name=DataPort[369]
unit.1.3.waveform.posn.312.type=signal
unit.1.3.waveform.posn.313.channel=369
unit.1.3.waveform.posn.313.name=DataPort[369]
unit.1.3.waveform.posn.313.type=signal
unit.1.3.waveform.posn.314.channel=369
unit.1.3.waveform.posn.314.name=DataPort[369]
unit.1.3.waveform.posn.314.type=signal
unit.1.3.waveform.posn.315.channel=369
unit.1.3.waveform.posn.315.name=DataPort[369]
unit.1.3.waveform.posn.315.type=signal
unit.1.3.waveform.posn.316.channel=369
unit.1.3.waveform.posn.316.name=DataPort[369]
unit.1.3.waveform.posn.316.type=signal
unit.1.3.waveform.posn.317.channel=369
unit.1.3.waveform.posn.317.name=DataPort[369]
unit.1.3.waveform.posn.317.type=signal
unit.1.3.waveform.posn.318.channel=369
unit.1.3.waveform.posn.318.name=DataPort[369]
unit.1.3.waveform.posn.318.type=signal
unit.1.3.waveform.posn.319.channel=369
unit.1.3.waveform.posn.319.name=DataPort[369]
unit.1.3.waveform.posn.319.type=signal
unit.1.3.waveform.posn.32.channel=246
unit.1.3.waveform.posn.32.name=SCRAMBLER_DIN_RE
unit.1.3.waveform.posn.32.type=signal
unit.1.3.waveform.posn.320.channel=369
unit.1.3.waveform.posn.320.name=DataPort[369]
unit.1.3.waveform.posn.320.type=signal
unit.1.3.waveform.posn.321.channel=369
unit.1.3.waveform.posn.321.name=DataPort[369]
unit.1.3.waveform.posn.321.type=signal
unit.1.3.waveform.posn.322.channel=369
unit.1.3.waveform.posn.322.name=DataPort[369]
unit.1.3.waveform.posn.322.type=signal
unit.1.3.waveform.posn.323.channel=369
unit.1.3.waveform.posn.323.name=DataPort[369]
unit.1.3.waveform.posn.323.type=signal
unit.1.3.waveform.posn.324.channel=369
unit.1.3.waveform.posn.324.name=DataPort[369]
unit.1.3.waveform.posn.324.type=signal
unit.1.3.waveform.posn.325.channel=369
unit.1.3.waveform.posn.325.name=DataPort[369]
unit.1.3.waveform.posn.325.type=signal
unit.1.3.waveform.posn.326.channel=369
unit.1.3.waveform.posn.326.name=DataPort[369]
unit.1.3.waveform.posn.326.type=signal
unit.1.3.waveform.posn.327.channel=369
unit.1.3.waveform.posn.327.name=DataPort[369]
unit.1.3.waveform.posn.327.type=signal
unit.1.3.waveform.posn.328.channel=369
unit.1.3.waveform.posn.328.name=DataPort[369]
unit.1.3.waveform.posn.328.type=signal
unit.1.3.waveform.posn.329.channel=369
unit.1.3.waveform.posn.329.name=DataPort[369]
unit.1.3.waveform.posn.329.type=signal
unit.1.3.waveform.posn.33.channel=247
unit.1.3.waveform.posn.33.name=ALIGN_DET
unit.1.3.waveform.posn.33.type=signal
unit.1.3.waveform.posn.330.channel=369
unit.1.3.waveform.posn.330.name=DataPort[369]
unit.1.3.waveform.posn.330.type=signal
unit.1.3.waveform.posn.331.channel=369
unit.1.3.waveform.posn.331.name=DataPort[369]
unit.1.3.waveform.posn.331.type=signal
unit.1.3.waveform.posn.332.channel=369
unit.1.3.waveform.posn.332.name=DataPort[369]
unit.1.3.waveform.posn.332.type=signal
unit.1.3.waveform.posn.333.channel=369
unit.1.3.waveform.posn.333.name=DataPort[369]
unit.1.3.waveform.posn.333.type=signal
unit.1.3.waveform.posn.334.channel=369
unit.1.3.waveform.posn.334.name=DataPort[369]
unit.1.3.waveform.posn.334.type=signal
unit.1.3.waveform.posn.335.channel=369
unit.1.3.waveform.posn.335.name=DataPort[369]
unit.1.3.waveform.posn.335.type=signal
unit.1.3.waveform.posn.336.channel=369
unit.1.3.waveform.posn.336.name=DataPort[369]
unit.1.3.waveform.posn.336.type=signal
unit.1.3.waveform.posn.337.channel=369
unit.1.3.waveform.posn.337.name=DataPort[369]
unit.1.3.waveform.posn.337.type=signal
unit.1.3.waveform.posn.338.channel=369
unit.1.3.waveform.posn.338.name=DataPort[369]
unit.1.3.waveform.posn.338.type=signal
unit.1.3.waveform.posn.339.channel=369
unit.1.3.waveform.posn.339.name=DataPort[369]
unit.1.3.waveform.posn.339.type=signal
unit.1.3.waveform.posn.34.channel=248
unit.1.3.waveform.posn.34.name=HOLD_START_DET
unit.1.3.waveform.posn.34.type=signal
unit.1.3.waveform.posn.340.channel=369
unit.1.3.waveform.posn.340.name=DataPort[369]
unit.1.3.waveform.posn.340.type=signal
unit.1.3.waveform.posn.341.channel=369
unit.1.3.waveform.posn.341.name=DataPort[369]
unit.1.3.waveform.posn.341.type=signal
unit.1.3.waveform.posn.342.channel=369
unit.1.3.waveform.posn.342.name=DataPort[369]
unit.1.3.waveform.posn.342.type=signal
unit.1.3.waveform.posn.343.channel=369
unit.1.3.waveform.posn.343.name=DataPort[369]
unit.1.3.waveform.posn.343.type=signal
unit.1.3.waveform.posn.344.channel=369
unit.1.3.waveform.posn.344.name=DataPort[369]
unit.1.3.waveform.posn.344.type=signal
unit.1.3.waveform.posn.345.channel=369
unit.1.3.waveform.posn.345.name=DataPort[369]
unit.1.3.waveform.posn.345.type=signal
unit.1.3.waveform.posn.346.channel=369
unit.1.3.waveform.posn.346.name=DataPort[369]
unit.1.3.waveform.posn.346.type=signal
unit.1.3.waveform.posn.347.channel=369
unit.1.3.waveform.posn.347.name=DataPort[369]
unit.1.3.waveform.posn.347.type=signal
unit.1.3.waveform.posn.348.channel=369
unit.1.3.waveform.posn.348.name=DataPort[369]
unit.1.3.waveform.posn.348.type=signal
unit.1.3.waveform.posn.349.channel=369
unit.1.3.waveform.posn.349.name=DataPort[369]
unit.1.3.waveform.posn.349.type=signal
unit.1.3.waveform.posn.35.channel=249
unit.1.3.waveform.posn.35.name=HOLD_STOP_DET
unit.1.3.waveform.posn.35.type=signal
unit.1.3.waveform.posn.350.channel=369
unit.1.3.waveform.posn.350.name=DataPort[369]
unit.1.3.waveform.posn.350.type=signal
unit.1.3.waveform.posn.351.channel=369
unit.1.3.waveform.posn.351.name=DataPort[369]
unit.1.3.waveform.posn.351.type=signal
unit.1.3.waveform.posn.352.channel=369
unit.1.3.waveform.posn.352.name=DataPort[369]
unit.1.3.waveform.posn.352.type=signal
unit.1.3.waveform.posn.353.channel=369
unit.1.3.waveform.posn.353.name=DataPort[369]
unit.1.3.waveform.posn.353.type=signal
unit.1.3.waveform.posn.354.channel=369
unit.1.3.waveform.posn.354.name=DataPort[369]
unit.1.3.waveform.posn.354.type=signal
unit.1.3.waveform.posn.355.channel=369
unit.1.3.waveform.posn.355.name=DataPort[369]
unit.1.3.waveform.posn.355.type=signal
unit.1.3.waveform.posn.356.channel=369
unit.1.3.waveform.posn.356.name=DataPort[369]
unit.1.3.waveform.posn.356.type=signal
unit.1.3.waveform.posn.357.channel=369
unit.1.3.waveform.posn.357.name=DataPort[369]
unit.1.3.waveform.posn.357.type=signal
unit.1.3.waveform.posn.358.channel=369
unit.1.3.waveform.posn.358.name=DataPort[369]
unit.1.3.waveform.posn.358.type=signal
unit.1.3.waveform.posn.359.channel=369
unit.1.3.waveform.posn.359.name=DataPort[369]
unit.1.3.waveform.posn.359.type=signal
unit.1.3.waveform.posn.36.channel=250
unit.1.3.waveform.posn.36.name=CONT_DET
unit.1.3.waveform.posn.36.type=signal
unit.1.3.waveform.posn.360.channel=369
unit.1.3.waveform.posn.360.name=DataPort[369]
unit.1.3.waveform.posn.360.type=signal
unit.1.3.waveform.posn.361.channel=369
unit.1.3.waveform.posn.361.name=DataPort[369]
unit.1.3.waveform.posn.361.type=signal
unit.1.3.waveform.posn.362.channel=369
unit.1.3.waveform.posn.362.name=DataPort[369]
unit.1.3.waveform.posn.362.type=signal
unit.1.3.waveform.posn.363.channel=369
unit.1.3.waveform.posn.363.name=DataPort[369]
unit.1.3.waveform.posn.363.type=signal
unit.1.3.waveform.posn.364.channel=369
unit.1.3.waveform.posn.364.name=DataPort[369]
unit.1.3.waveform.posn.364.type=signal
unit.1.3.waveform.posn.365.channel=369
unit.1.3.waveform.posn.365.name=DataPort[369]
unit.1.3.waveform.posn.365.type=signal
unit.1.3.waveform.posn.366.channel=369
unit.1.3.waveform.posn.366.name=DataPort[369]
unit.1.3.waveform.posn.366.type=signal
unit.1.3.waveform.posn.367.channel=369
unit.1.3.waveform.posn.367.name=DataPort[369]
unit.1.3.waveform.posn.367.type=signal
unit.1.3.waveform.posn.368.channel=369
unit.1.3.waveform.posn.368.name=DataPort[369]
unit.1.3.waveform.posn.368.type=signal
unit.1.3.waveform.posn.369.channel=369
unit.1.3.waveform.posn.369.name=DataPort[369]
unit.1.3.waveform.posn.369.type=signal
unit.1.3.waveform.posn.37.channel=251
unit.1.3.waveform.posn.37.name=WRITE_FIFO_PROG_FULL
unit.1.3.waveform.posn.37.type=signal
unit.1.3.waveform.posn.38.channel=252
unit.1.3.waveform.posn.38.name=SCRAMBLER_RESET_AFTER_NCQ
unit.1.3.waveform.posn.38.type=signal
unit.1.3.waveform.posn.39.channel=253
unit.1.3.waveform.posn.39.name=WRITE_FIFO_ALMOST_EMPTY
unit.1.3.waveform.posn.39.type=signal
unit.1.3.waveform.posn.4.channel=2147483646
unit.1.3.waveform.posn.4.name=SCRAMBLER_DIN
unit.1.3.waveform.posn.4.radix=1
unit.1.3.waveform.posn.4.type=bus
unit.1.3.waveform.posn.40.channel=254
unit.1.3.waveform.posn.40.name=NEW_CMD
unit.1.3.waveform.posn.40.type=signal
unit.1.3.waveform.posn.41.channel=255
unit.1.3.waveform.posn.41.name=SCRAMBLER_RESET_AFTER_FIS
unit.1.3.waveform.posn.41.type=signal
unit.1.3.waveform.posn.42.channel=259
unit.1.3.waveform.posn.42.name=SCRAMBLER_EN
unit.1.3.waveform.posn.42.type=signal
unit.1.3.waveform.posn.43.channel=260
unit.1.3.waveform.posn.43.name=TX_FIFO_PROG_FULL
unit.1.3.waveform.posn.43.type=signal
unit.1.3.waveform.posn.44.channel=261
unit.1.3.waveform.posn.44.name=SCRAMBLER_COUNT_EN_DATA_FIS
unit.1.3.waveform.posn.44.type=signal
unit.1.3.waveform.posn.45.channel=262
unit.1.3.waveform.posn.45.name=SCRAMBLER_RESET
unit.1.3.waveform.posn.45.type=signal
unit.1.3.waveform.posn.46.channel=263
unit.1.3.waveform.posn.46.name=CRC_EN
unit.1.3.waveform.posn.46.type=signal
unit.1.3.waveform.posn.47.channel=263
unit.1.3.waveform.posn.47.name=CRC_EN
unit.1.3.waveform.posn.47.type=signal
unit.1.3.waveform.posn.48.channel=263
unit.1.3.waveform.posn.48.name=CRC_EN
unit.1.3.waveform.posn.48.type=signal
unit.1.3.waveform.posn.49.channel=263
unit.1.3.waveform.posn.49.name=CRC_EN
unit.1.3.waveform.posn.49.type=signal
unit.1.3.waveform.posn.5.channel=2147483646
unit.1.3.waveform.posn.5.name=SCRAMBLER_COUNT
unit.1.3.waveform.posn.5.radix=4
unit.1.3.waveform.posn.5.type=bus
unit.1.3.waveform.posn.50.channel=263
unit.1.3.waveform.posn.50.name=CRC_EN
unit.1.3.waveform.posn.50.type=signal
unit.1.3.waveform.posn.51.channel=369
unit.1.3.waveform.posn.51.name=DataPort[369]
unit.1.3.waveform.posn.51.type=signal
unit.1.3.waveform.posn.52.channel=369
unit.1.3.waveform.posn.52.name=DataPort[369]
unit.1.3.waveform.posn.52.type=signal
unit.1.3.waveform.posn.53.channel=369
unit.1.3.waveform.posn.53.name=DataPort[369]
unit.1.3.waveform.posn.53.type=signal
unit.1.3.waveform.posn.54.channel=369
unit.1.3.waveform.posn.54.name=DataPort[369]
unit.1.3.waveform.posn.54.type=signal
unit.1.3.waveform.posn.55.channel=369
unit.1.3.waveform.posn.55.name=DataPort[369]
unit.1.3.waveform.posn.55.type=signal
unit.1.3.waveform.posn.56.channel=369
unit.1.3.waveform.posn.56.name=DataPort[369]
unit.1.3.waveform.posn.56.type=signal
unit.1.3.waveform.posn.57.channel=369
unit.1.3.waveform.posn.57.name=DataPort[369]
unit.1.3.waveform.posn.57.type=signal
unit.1.3.waveform.posn.58.channel=369
unit.1.3.waveform.posn.58.name=DataPort[369]
unit.1.3.waveform.posn.58.type=signal
unit.1.3.waveform.posn.59.channel=369
unit.1.3.waveform.posn.59.name=DataPort[369]
unit.1.3.waveform.posn.59.type=signal
unit.1.3.waveform.posn.6.channel=2147483646
unit.1.3.waveform.posn.6.name=FIS_WORD_COUNT
unit.1.3.waveform.posn.6.radix=4
unit.1.3.waveform.posn.6.type=bus
unit.1.3.waveform.posn.60.channel=369
unit.1.3.waveform.posn.60.name=DataPort[369]
unit.1.3.waveform.posn.60.type=signal
unit.1.3.waveform.posn.61.channel=369
unit.1.3.waveform.posn.61.name=DataPort[369]
unit.1.3.waveform.posn.61.type=signal
unit.1.3.waveform.posn.62.channel=369
unit.1.3.waveform.posn.62.name=DataPort[369]
unit.1.3.waveform.posn.62.type=signal
unit.1.3.waveform.posn.63.channel=369
unit.1.3.waveform.posn.63.name=DataPort[369]
unit.1.3.waveform.posn.63.type=signal
unit.1.3.waveform.posn.64.channel=369
unit.1.3.waveform.posn.64.name=DataPort[369]
unit.1.3.waveform.posn.64.type=signal
unit.1.3.waveform.posn.65.channel=369
unit.1.3.waveform.posn.65.name=DataPort[369]
unit.1.3.waveform.posn.65.type=signal
unit.1.3.waveform.posn.66.channel=369
unit.1.3.waveform.posn.66.name=DataPort[369]
unit.1.3.waveform.posn.66.type=signal
unit.1.3.waveform.posn.67.channel=369
unit.1.3.waveform.posn.67.name=DataPort[369]
unit.1.3.waveform.posn.67.type=signal
unit.1.3.waveform.posn.68.channel=369
unit.1.3.waveform.posn.68.name=DataPort[369]
unit.1.3.waveform.posn.68.type=signal
unit.1.3.waveform.posn.69.channel=369
unit.1.3.waveform.posn.69.name=DataPort[369]
unit.1.3.waveform.posn.69.type=signal
unit.1.3.waveform.posn.7.channel=2147483646
unit.1.3.waveform.posn.7.name=WRITE_FIFO_DIN
unit.1.3.waveform.posn.7.radix=4
unit.1.3.waveform.posn.7.type=bus
unit.1.3.waveform.posn.70.channel=369
unit.1.3.waveform.posn.70.name=DataPort[369]
unit.1.3.waveform.posn.70.type=signal
unit.1.3.waveform.posn.71.channel=369
unit.1.3.waveform.posn.71.name=DataPort[369]
unit.1.3.waveform.posn.71.type=signal
unit.1.3.waveform.posn.72.channel=369
unit.1.3.waveform.posn.72.name=DataPort[369]
unit.1.3.waveform.posn.72.type=signal
unit.1.3.waveform.posn.73.channel=369
unit.1.3.waveform.posn.73.name=DataPort[369]
unit.1.3.waveform.posn.73.type=signal
unit.1.3.waveform.posn.74.channel=369
unit.1.3.waveform.posn.74.name=DataPort[369]
unit.1.3.waveform.posn.74.type=signal
unit.1.3.waveform.posn.75.channel=369
unit.1.3.waveform.posn.75.name=DataPort[369]
unit.1.3.waveform.posn.75.type=signal
unit.1.3.waveform.posn.76.channel=369
unit.1.3.waveform.posn.76.name=DataPort[369]
unit.1.3.waveform.posn.76.type=signal
unit.1.3.waveform.posn.77.channel=369
unit.1.3.waveform.posn.77.name=DataPort[369]
unit.1.3.waveform.posn.77.type=signal
unit.1.3.waveform.posn.78.channel=369
unit.1.3.waveform.posn.78.name=DataPort[369]
unit.1.3.waveform.posn.78.type=signal
unit.1.3.waveform.posn.79.channel=369
unit.1.3.waveform.posn.79.name=DataPort[369]
unit.1.3.waveform.posn.79.type=signal
unit.1.3.waveform.posn.8.channel=256
unit.1.3.waveform.posn.8.name=WRITE_FIFO_WE
unit.1.3.waveform.posn.8.radix=1
unit.1.3.waveform.posn.8.type=signal
unit.1.3.waveform.posn.80.channel=369
unit.1.3.waveform.posn.80.name=DataPort[369]
unit.1.3.waveform.posn.80.type=signal
unit.1.3.waveform.posn.81.channel=369
unit.1.3.waveform.posn.81.name=DataPort[369]
unit.1.3.waveform.posn.81.type=signal
unit.1.3.waveform.posn.82.channel=369
unit.1.3.waveform.posn.82.name=DataPort[369]
unit.1.3.waveform.posn.82.type=signal
unit.1.3.waveform.posn.83.channel=369
unit.1.3.waveform.posn.83.name=DataPort[369]
unit.1.3.waveform.posn.83.type=signal
unit.1.3.waveform.posn.84.channel=369
unit.1.3.waveform.posn.84.name=DataPort[369]
unit.1.3.waveform.posn.84.type=signal
unit.1.3.waveform.posn.85.channel=369
unit.1.3.waveform.posn.85.name=DataPort[369]
unit.1.3.waveform.posn.85.type=signal
unit.1.3.waveform.posn.86.channel=369
unit.1.3.waveform.posn.86.name=DataPort[369]
unit.1.3.waveform.posn.86.type=signal
unit.1.3.waveform.posn.87.channel=369
unit.1.3.waveform.posn.87.name=DataPort[369]
unit.1.3.waveform.posn.87.type=signal
unit.1.3.waveform.posn.88.channel=369
unit.1.3.waveform.posn.88.name=DataPort[369]
unit.1.3.waveform.posn.88.type=signal
unit.1.3.waveform.posn.89.channel=369
unit.1.3.waveform.posn.89.name=DataPort[369]
unit.1.3.waveform.posn.89.type=signal
unit.1.3.waveform.posn.9.channel=2147483646
unit.1.3.waveform.posn.9.name=WRITE_FIFO_DOUT
unit.1.3.waveform.posn.9.radix=4
unit.1.3.waveform.posn.9.type=bus
unit.1.3.waveform.posn.90.channel=369
unit.1.3.waveform.posn.90.name=DataPort[369]
unit.1.3.waveform.posn.90.type=signal
unit.1.3.waveform.posn.91.channel=369
unit.1.3.waveform.posn.91.name=DataPort[369]
unit.1.3.waveform.posn.91.type=signal
unit.1.3.waveform.posn.92.channel=369
unit.1.3.waveform.posn.92.name=DataPort[369]
unit.1.3.waveform.posn.92.type=signal
unit.1.3.waveform.posn.93.channel=369
unit.1.3.waveform.posn.93.name=DataPort[369]
unit.1.3.waveform.posn.93.type=signal
unit.1.3.waveform.posn.94.channel=369
unit.1.3.waveform.posn.94.name=DataPort[369]
unit.1.3.waveform.posn.94.type=signal
unit.1.3.waveform.posn.95.channel=369
unit.1.3.waveform.posn.95.name=DataPort[369]
unit.1.3.waveform.posn.95.type=signal
unit.1.3.waveform.posn.96.channel=369
unit.1.3.waveform.posn.96.name=DataPort[369]
unit.1.3.waveform.posn.96.type=signal
unit.1.3.waveform.posn.97.channel=369
unit.1.3.waveform.posn.97.name=DataPort[369]
unit.1.3.waveform.posn.97.type=signal
unit.1.3.waveform.posn.98.channel=369
unit.1.3.waveform.posn.98.name=DataPort[369]
unit.1.3.waveform.posn.98.type=signal
unit.1.3.waveform.posn.99.channel=369
unit.1.3.waveform.posn.99.name=DataPort[369]
unit.1.3.waveform.posn.99.type=signal
unit.1.4.0.HEIGHT0=0.5714286
unit.1.4.0.TriggerRow0=1
unit.1.4.0.TriggerRow1=1
unit.1.4.0.TriggerRow2=1
unit.1.4.0.WIDTH0=0.9655814
unit.1.4.0.X0=0.053953487
unit.1.4.0.Y0=0.07743658
unit.1.4.1.HEIGHT1=0.71695596
unit.1.4.1.WIDTH1=0.92465115
unit.1.4.1.X1=0.013953488
unit.1.4.1.Y1=0.002670227
unit.1.4.MFBitsA0=0001
unit.1.4.MFBitsA1=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.4.MFBitsA10=XXXXXXXXXXXXXXXX
unit.1.4.MFBitsA11=XXXXXXXXX1XX
unit.1.4.MFBitsA12=XXXXXXXXXXXXXXXX
unit.1.4.MFBitsA13=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.4.MFBitsA14=01000
unit.1.4.MFBitsA15=10000000
unit.1.4.MFBitsA2=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.4.MFBitsA3=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.4.MFBitsA4=11111111110000000000000000000000
unit.1.4.MFBitsA5=XX
unit.1.4.MFBitsA6=XX
unit.1.4.MFBitsA7=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.4.MFBitsA8=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.4.MFBitsA9=000010111110100000000000
unit.1.4.MFBitsB0=0000
unit.1.4.MFBitsB1=00000000000000000000000000000000
unit.1.4.MFBitsB10=0000000000000000
unit.1.4.MFBitsB11=000000000000
unit.1.4.MFBitsB12=0000000000000000
unit.1.4.MFBitsB13=00000000000000000000000000000000
unit.1.4.MFBitsB14=00000
unit.1.4.MFBitsB15=00000000
unit.1.4.MFBitsB2=00000000000000000000000000000000
unit.1.4.MFBitsB3=00000000000000000000000000000000
unit.1.4.MFBitsB4=00000000000000000000000000000000
unit.1.4.MFBitsB5=00
unit.1.4.MFBitsB6=00
unit.1.4.MFBitsB7=00000000000000000000000000000000
unit.1.4.MFBitsB8=00000000000000000000000000000000
unit.1.4.MFBitsB9=000000000000000000000000
unit.1.4.MFCompareA0=0
unit.1.4.MFCompareA1=0
unit.1.4.MFCompareA10=0
unit.1.4.MFCompareA11=0
unit.1.4.MFCompareA12=0
unit.1.4.MFCompareA13=0
unit.1.4.MFCompareA14=0
unit.1.4.MFCompareA15=0
unit.1.4.MFCompareA2=0
unit.1.4.MFCompareA3=0
unit.1.4.MFCompareA4=0
unit.1.4.MFCompareA5=0
unit.1.4.MFCompareA6=0
unit.1.4.MFCompareA7=0
unit.1.4.MFCompareA8=0
unit.1.4.MFCompareA9=0
unit.1.4.MFCompareB0=999
unit.1.4.MFCompareB1=999
unit.1.4.MFCompareB10=999
unit.1.4.MFCompareB11=999
unit.1.4.MFCompareB12=999
unit.1.4.MFCompareB13=999
unit.1.4.MFCompareB14=999
unit.1.4.MFCompareB15=999
unit.1.4.MFCompareB2=999
unit.1.4.MFCompareB3=999
unit.1.4.MFCompareB4=999
unit.1.4.MFCompareB5=999
unit.1.4.MFCompareB6=999
unit.1.4.MFCompareB7=999
unit.1.4.MFCompareB8=999
unit.1.4.MFCompareB9=999
unit.1.4.MFCount=14
unit.1.4.MFDisplay0=1
unit.1.4.MFDisplay1=0
unit.1.4.MFDisplay10=0
unit.1.4.MFDisplay11=0
unit.1.4.MFDisplay12=0
unit.1.4.MFDisplay13=0
unit.1.4.MFDisplay14=4
unit.1.4.MFDisplay15=4
unit.1.4.MFDisplay2=0
unit.1.4.MFDisplay3=0
unit.1.4.MFDisplay4=4
unit.1.4.MFDisplay5=0
unit.1.4.MFDisplay6=0
unit.1.4.MFDisplay7=0
unit.1.4.MFDisplay8=0
unit.1.4.MFDisplay9=1
unit.1.4.MFEventType0=3
unit.1.4.MFEventType1=3
unit.1.4.MFEventType10=3
unit.1.4.MFEventType11=3
unit.1.4.MFEventType12=3
unit.1.4.MFEventType13=3
unit.1.4.MFEventType14=3
unit.1.4.MFEventType15=3
unit.1.4.MFEventType2=3
unit.1.4.MFEventType3=3
unit.1.4.MFEventType4=3
unit.1.4.MFEventType5=3
unit.1.4.MFEventType6=3
unit.1.4.MFEventType7=3
unit.1.4.MFEventType8=3
unit.1.4.MFEventType9=3
unit.1.4.RunMode=SINGLE RUN
unit.1.4.SQCondition=All Data
unit.1.4.SQContiguous0=0
unit.1.4.SequencerOn=0
unit.1.4.TCActive=0
unit.1.4.TCAdvanced0=0
unit.1.4.TCCondition0_0=M11
unit.1.4.TCCondition0_1=
unit.1.4.TCConditionType0=0
unit.1.4.TCCount=1
unit.1.4.TCEventCount0=1
unit.1.4.TCEventType0=3
unit.1.4.TCName0=TriggerCondition0
unit.1.4.TCOutputEnable0=0
unit.1.4.TCOutputHigh0=1
unit.1.4.TCOutputMode0=0
unit.1.4.browser_tree_state<Data\ Port>=1
unit.1.4.browser_tree_state<TRIG11>=1
unit.1.4.browser_tree_state<Trigger\ Ports>=1
unit.1.4.coretype=ILA
unit.1.4.eventCount0=1
unit.1.4.eventCount1=1
unit.1.4.eventCount10=1
unit.1.4.eventCount11=1
unit.1.4.eventCount12=1
unit.1.4.eventCount13=1
unit.1.4.eventCount14=1
unit.1.4.eventCount15=1
unit.1.4.eventCount2=1
unit.1.4.eventCount3=1
unit.1.4.eventCount4=1
unit.1.4.eventCount5=1
unit.1.4.eventCount6=1
unit.1.4.eventCount7=1
unit.1.4.eventCount8=1
unit.1.4.eventCount9=1
unit.1.4.port.-1.b.0.alias=CMD_TYPE
unit.1.4.port.-1.b.0.channellist=132 133
unit.1.4.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.0.name=BUS_5
unit.1.4.port.-1.b.0.orderindex=305
unit.1.4.port.-1.b.0.radix=Hex
unit.1.4.port.-1.b.0.signedOffset=0.0
unit.1.4.port.-1.b.0.signedPrecision=0
unit.1.4.port.-1.b.0.signedScaleFactor=1.0
unit.1.4.port.-1.b.0.tokencount=0
unit.1.4.port.-1.b.0.unsignedOffset=0.0
unit.1.4.port.-1.b.0.unsignedPrecision=0
unit.1.4.port.-1.b.0.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.0.visible=1
unit.1.4.port.-1.b.1.alias=COMMAND_FSM_VALUE
unit.1.4.port.-1.b.1.channellist=0 1 2 3
unit.1.4.port.-1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.1.name=BUS_0
unit.1.4.port.-1.b.1.orderindex=300
unit.1.4.port.-1.b.1.radix=Hex
unit.1.4.port.-1.b.1.signedOffset=0.0
unit.1.4.port.-1.b.1.signedPrecision=0
unit.1.4.port.-1.b.1.signedScaleFactor=1.0
unit.1.4.port.-1.b.1.tokencount=0
unit.1.4.port.-1.b.1.unsignedOffset=0.0
unit.1.4.port.-1.b.1.unsignedPrecision=0
unit.1.4.port.-1.b.1.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.1.visible=1
unit.1.4.port.-1.b.10.alias=TRIG11
unit.1.4.port.-1.b.10.channellist=240 241 242 243 244 245 246 247 248 249 250 251
unit.1.4.port.-1.b.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.10.name=BUS_11
unit.1.4.port.-1.b.10.orderindex=311
unit.1.4.port.-1.b.10.radix=Hex
unit.1.4.port.-1.b.10.signedOffset=0.0
unit.1.4.port.-1.b.10.signedPrecision=0
unit.1.4.port.-1.b.10.signedScaleFactor=1.0
unit.1.4.port.-1.b.10.tokencount=0
unit.1.4.port.-1.b.10.unsignedOffset=0.0
unit.1.4.port.-1.b.10.unsignedPrecision=0
unit.1.4.port.-1.b.10.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.10.visible=1
unit.1.4.port.-1.b.11.alias=USER_DIN
unit.1.4.port.-1.b.11.channellist=4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35
unit.1.4.port.-1.b.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.11.name=BUS_1
unit.1.4.port.-1.b.11.orderindex=301
unit.1.4.port.-1.b.11.radix=Unsigned
unit.1.4.port.-1.b.11.signedOffset=0.0
unit.1.4.port.-1.b.11.signedPrecision=0
unit.1.4.port.-1.b.11.signedScaleFactor=1.0
unit.1.4.port.-1.b.11.tokencount=0
unit.1.4.port.-1.b.11.unsignedOffset=0.0
unit.1.4.port.-1.b.11.unsignedPrecision=0
unit.1.4.port.-1.b.11.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.11.visible=1
unit.1.4.port.-1.b.12.alias=USER_DOUT_ILA
unit.1.4.port.-1.b.12.channellist=36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67
unit.1.4.port.-1.b.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.12.name=BUS_2
unit.1.4.port.-1.b.12.orderindex=302
unit.1.4.port.-1.b.12.radix=Hex
unit.1.4.port.-1.b.12.signedOffset=0.0
unit.1.4.port.-1.b.12.signedPrecision=0
unit.1.4.port.-1.b.12.signedScaleFactor=1.0
unit.1.4.port.-1.b.12.tokencount=0
unit.1.4.port.-1.b.12.unsignedOffset=0.0
unit.1.4.port.-1.b.12.unsignedPrecision=0
unit.1.4.port.-1.b.12.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.12.visible=1
unit.1.4.port.-1.b.13.alias=WRITE_DATA_COUNT
unit.1.4.port.-1.b.13.channellist=268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299
unit.1.4.port.-1.b.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.13.name=BUS_13
unit.1.4.port.-1.b.13.orderindex=313
unit.1.4.port.-1.b.13.radix=Hex
unit.1.4.port.-1.b.13.signedOffset=0.0
unit.1.4.port.-1.b.13.signedPrecision=0
unit.1.4.port.-1.b.13.signedScaleFactor=1.0
unit.1.4.port.-1.b.13.tokencount=0
unit.1.4.port.-1.b.13.unsignedOffset=0.0
unit.1.4.port.-1.b.13.unsignedPrecision=0
unit.1.4.port.-1.b.13.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.13.visible=1
unit.1.4.port.-1.b.14.alias=WRITE_DATA_COUNT
unit.1.4.port.-1.b.14.channellist=270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299
unit.1.4.port.-1.b.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.14.name=BUS_13
unit.1.4.port.-1.b.14.orderindex=328
unit.1.4.port.-1.b.14.radix=Hex
unit.1.4.port.-1.b.14.signedOffset=0.0
unit.1.4.port.-1.b.14.signedPrecision=0
unit.1.4.port.-1.b.14.signedScaleFactor=1.0
unit.1.4.port.-1.b.14.tokencount=0
unit.1.4.port.-1.b.14.unsignedOffset=0.0
unit.1.4.port.-1.b.14.unsignedPrecision=0
unit.1.4.port.-1.b.14.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.14.visible=1
unit.1.4.port.-1.b.15.alias=WRITE_DATA_COUNT
unit.1.4.port.-1.b.15.channellist=270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299
unit.1.4.port.-1.b.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.15.name=BUS_13
unit.1.4.port.-1.b.15.orderindex=328
unit.1.4.port.-1.b.15.radix=Hex
unit.1.4.port.-1.b.15.signedOffset=0.0
unit.1.4.port.-1.b.15.signedPrecision=0
unit.1.4.port.-1.b.15.signedScaleFactor=1.0
unit.1.4.port.-1.b.15.tokencount=0
unit.1.4.port.-1.b.15.unsignedOffset=0.0
unit.1.4.port.-1.b.15.unsignedPrecision=0
unit.1.4.port.-1.b.15.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.15.visible=1
unit.1.4.port.-1.b.2.alias=DWORD_COUNT
unit.1.4.port.-1.b.2.channellist=252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267
unit.1.4.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.2.name=BUS_12
unit.1.4.port.-1.b.2.orderindex=312
unit.1.4.port.-1.b.2.radix=Unsigned
unit.1.4.port.-1.b.2.signedOffset=0.0
unit.1.4.port.-1.b.2.signedPrecision=0
unit.1.4.port.-1.b.2.signedScaleFactor=1.0
unit.1.4.port.-1.b.2.tokencount=0
unit.1.4.port.-1.b.2.unsignedOffset=0.0
unit.1.4.port.-1.b.2.unsignedPrecision=0
unit.1.4.port.-1.b.2.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.2.visible=1
unit.1.4.port.-1.b.3.alias=LL_CMD_TYPE_OUT
unit.1.4.port.-1.b.3.channellist=134 135
unit.1.4.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.3.name=BUS_6
unit.1.4.port.-1.b.3.orderindex=306
unit.1.4.port.-1.b.3.radix=Hex
unit.1.4.port.-1.b.3.signedOffset=0.0
unit.1.4.port.-1.b.3.signedPrecision=0
unit.1.4.port.-1.b.3.signedScaleFactor=1.0
unit.1.4.port.-1.b.3.tokencount=0
unit.1.4.port.-1.b.3.unsignedOffset=0.0
unit.1.4.port.-1.b.3.unsignedPrecision=0
unit.1.4.port.-1.b.3.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.3.visible=1
unit.1.4.port.-1.b.4.alias=LL_DIN
unit.1.4.port.-1.b.4.channellist=68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99
unit.1.4.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.4.name=BUS_3
unit.1.4.port.-1.b.4.orderindex=303
unit.1.4.port.-1.b.4.radix=Hex
unit.1.4.port.-1.b.4.signedOffset=0.0
unit.1.4.port.-1.b.4.signedPrecision=0
unit.1.4.port.-1.b.4.signedScaleFactor=1.0
unit.1.4.port.-1.b.4.tokencount=0
unit.1.4.port.-1.b.4.unsignedOffset=0.0
unit.1.4.port.-1.b.4.unsignedPrecision=0
unit.1.4.port.-1.b.4.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.4.visible=1
unit.1.4.port.-1.b.5.alias=LL_DOUT_OUT
unit.1.4.port.-1.b.5.channellist=100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131
unit.1.4.port.-1.b.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.5.name=BUS_4
unit.1.4.port.-1.b.5.orderindex=304
unit.1.4.port.-1.b.5.radix=Unsigned
unit.1.4.port.-1.b.5.signedOffset=0.0
unit.1.4.port.-1.b.5.signedPrecision=0
unit.1.4.port.-1.b.5.signedScaleFactor=1.0
unit.1.4.port.-1.b.5.tokencount=0
unit.1.4.port.-1.b.5.unsignedOffset=0.0
unit.1.4.port.-1.b.5.unsignedPrecision=0
unit.1.4.port.-1.b.5.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.5.visible=1
unit.1.4.port.-1.b.6.alias=REG_FIS.LBA
unit.1.4.port.-1.b.6.channellist=200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223
unit.1.4.port.-1.b.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.6.name=BUS_9
unit.1.4.port.-1.b.6.orderindex=309
unit.1.4.port.-1.b.6.radix=Hex
unit.1.4.port.-1.b.6.signedOffset=0.0
unit.1.4.port.-1.b.6.signedPrecision=0
unit.1.4.port.-1.b.6.signedScaleFactor=1.0
unit.1.4.port.-1.b.6.tokencount=0
unit.1.4.port.-1.b.6.unsignedOffset=0.0
unit.1.4.port.-1.b.6.unsignedPrecision=0
unit.1.4.port.-1.b.6.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.6.visible=1
unit.1.4.port.-1.b.7.alias=REG_FIS.SECTOR_COUNT
unit.1.4.port.-1.b.7.channellist=224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239
unit.1.4.port.-1.b.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.7.name=BUS_10
unit.1.4.port.-1.b.7.orderindex=310
unit.1.4.port.-1.b.7.radix=Unsigned
unit.1.4.port.-1.b.7.signedOffset=0.0
unit.1.4.port.-1.b.7.signedPrecision=0
unit.1.4.port.-1.b.7.signedScaleFactor=1.0
unit.1.4.port.-1.b.7.tokencount=0
unit.1.4.port.-1.b.7.unsignedOffset=0.0
unit.1.4.port.-1.b.7.unsignedPrecision=0
unit.1.4.port.-1.b.7.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.7.visible=1
unit.1.4.port.-1.b.8.alias=SECTOR_ADDR
unit.1.4.port.-1.b.8.channellist=168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199
unit.1.4.port.-1.b.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.8.name=BUS_8
unit.1.4.port.-1.b.8.orderindex=308
unit.1.4.port.-1.b.8.radix=Hex
unit.1.4.port.-1.b.8.signedOffset=0.0
unit.1.4.port.-1.b.8.signedPrecision=0
unit.1.4.port.-1.b.8.signedScaleFactor=1.0
unit.1.4.port.-1.b.8.tokencount=0
unit.1.4.port.-1.b.8.unsignedOffset=0.0
unit.1.4.port.-1.b.8.unsignedPrecision=0
unit.1.4.port.-1.b.8.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.8.visible=1
unit.1.4.port.-1.b.9.alias=SECTOR_TIMER
unit.1.4.port.-1.b.9.channellist=136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167
unit.1.4.port.-1.b.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.b.9.name=BUS_7
unit.1.4.port.-1.b.9.orderindex=307
unit.1.4.port.-1.b.9.radix=Hex
unit.1.4.port.-1.b.9.signedOffset=0.0
unit.1.4.port.-1.b.9.signedPrecision=0
unit.1.4.port.-1.b.9.signedScaleFactor=1.0
unit.1.4.port.-1.b.9.tokencount=0
unit.1.4.port.-1.b.9.unsignedOffset=0.0
unit.1.4.port.-1.b.9.unsignedPrecision=0
unit.1.4.port.-1.b.9.unsignedScaleFactor=1.0
unit.1.4.port.-1.b.9.visible=1
unit.1.4.port.-1.buscount=14
unit.1.4.port.-1.channelcount=300
unit.1.4.port.-1.s.0.alias=
unit.1.4.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.0.name=COMMAND_FSM_VALUE[0]
unit.1.4.port.-1.s.0.orderindex=-1
unit.1.4.port.-1.s.0.visible=1
unit.1.4.port.-1.s.1.alias=
unit.1.4.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.1.name=COMMAND_FSM_VALUE[1]
unit.1.4.port.-1.s.1.orderindex=-1
unit.1.4.port.-1.s.1.visible=1
unit.1.4.port.-1.s.10.alias=
unit.1.4.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.10.name=USER_DIN[6]
unit.1.4.port.-1.s.10.orderindex=-1
unit.1.4.port.-1.s.10.visible=1
unit.1.4.port.-1.s.100.alias=
unit.1.4.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.100.name=LL_DOUT_OUT[0]
unit.1.4.port.-1.s.100.orderindex=-1
unit.1.4.port.-1.s.100.visible=1
unit.1.4.port.-1.s.101.alias=
unit.1.4.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.101.name=LL_DOUT_OUT[1]
unit.1.4.port.-1.s.101.orderindex=-1
unit.1.4.port.-1.s.101.visible=1
unit.1.4.port.-1.s.102.alias=
unit.1.4.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.102.name=LL_DOUT_OUT[2]
unit.1.4.port.-1.s.102.orderindex=-1
unit.1.4.port.-1.s.102.visible=1
unit.1.4.port.-1.s.103.alias=
unit.1.4.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.103.name=LL_DOUT_OUT[3]
unit.1.4.port.-1.s.103.orderindex=-1
unit.1.4.port.-1.s.103.visible=1
unit.1.4.port.-1.s.104.alias=
unit.1.4.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.104.name=LL_DOUT_OUT[4]
unit.1.4.port.-1.s.104.orderindex=-1
unit.1.4.port.-1.s.104.visible=1
unit.1.4.port.-1.s.105.alias=
unit.1.4.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.105.name=LL_DOUT_OUT[5]
unit.1.4.port.-1.s.105.orderindex=-1
unit.1.4.port.-1.s.105.visible=1
unit.1.4.port.-1.s.106.alias=
unit.1.4.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.106.name=LL_DOUT_OUT[6]
unit.1.4.port.-1.s.106.orderindex=-1
unit.1.4.port.-1.s.106.visible=1
unit.1.4.port.-1.s.107.alias=
unit.1.4.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.107.name=LL_DOUT_OUT[7]
unit.1.4.port.-1.s.107.orderindex=-1
unit.1.4.port.-1.s.107.visible=1
unit.1.4.port.-1.s.108.alias=
unit.1.4.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.108.name=LL_DOUT_OUT[8]
unit.1.4.port.-1.s.108.orderindex=-1
unit.1.4.port.-1.s.108.visible=1
unit.1.4.port.-1.s.109.alias=
unit.1.4.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.109.name=LL_DOUT_OUT[9]
unit.1.4.port.-1.s.109.orderindex=-1
unit.1.4.port.-1.s.109.visible=1
unit.1.4.port.-1.s.11.alias=
unit.1.4.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.11.name=USER_DIN[7]
unit.1.4.port.-1.s.11.orderindex=-1
unit.1.4.port.-1.s.11.visible=1
unit.1.4.port.-1.s.110.alias=
unit.1.4.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.110.name=LL_DOUT_OUT[10]
unit.1.4.port.-1.s.110.orderindex=-1
unit.1.4.port.-1.s.110.visible=1
unit.1.4.port.-1.s.111.alias=
unit.1.4.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.111.name=LL_DOUT_OUT[11]
unit.1.4.port.-1.s.111.orderindex=-1
unit.1.4.port.-1.s.111.visible=1
unit.1.4.port.-1.s.112.alias=
unit.1.4.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.112.name=LL_DOUT_OUT[12]
unit.1.4.port.-1.s.112.orderindex=-1
unit.1.4.port.-1.s.112.visible=1
unit.1.4.port.-1.s.113.alias=
unit.1.4.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.113.name=LL_DOUT_OUT[13]
unit.1.4.port.-1.s.113.orderindex=-1
unit.1.4.port.-1.s.113.visible=1
unit.1.4.port.-1.s.114.alias=
unit.1.4.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.114.name=LL_DOUT_OUT[14]
unit.1.4.port.-1.s.114.orderindex=-1
unit.1.4.port.-1.s.114.visible=1
unit.1.4.port.-1.s.115.alias=
unit.1.4.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.115.name=LL_DOUT_OUT[15]
unit.1.4.port.-1.s.115.orderindex=-1
unit.1.4.port.-1.s.115.visible=1
unit.1.4.port.-1.s.116.alias=
unit.1.4.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.116.name=LL_DOUT_OUT[16]
unit.1.4.port.-1.s.116.orderindex=-1
unit.1.4.port.-1.s.116.visible=1
unit.1.4.port.-1.s.117.alias=
unit.1.4.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.117.name=LL_DOUT_OUT[17]
unit.1.4.port.-1.s.117.orderindex=-1
unit.1.4.port.-1.s.117.visible=1
unit.1.4.port.-1.s.118.alias=
unit.1.4.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.118.name=LL_DOUT_OUT[18]
unit.1.4.port.-1.s.118.orderindex=-1
unit.1.4.port.-1.s.118.visible=1
unit.1.4.port.-1.s.119.alias=
unit.1.4.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.119.name=LL_DOUT_OUT[19]
unit.1.4.port.-1.s.119.orderindex=-1
unit.1.4.port.-1.s.119.visible=1
unit.1.4.port.-1.s.12.alias=
unit.1.4.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.12.name=USER_DIN[8]
unit.1.4.port.-1.s.12.orderindex=-1
unit.1.4.port.-1.s.12.visible=1
unit.1.4.port.-1.s.120.alias=
unit.1.4.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.120.name=LL_DOUT_OUT[20]
unit.1.4.port.-1.s.120.orderindex=-1
unit.1.4.port.-1.s.120.visible=1
unit.1.4.port.-1.s.121.alias=
unit.1.4.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.121.name=LL_DOUT_OUT[21]
unit.1.4.port.-1.s.121.orderindex=-1
unit.1.4.port.-1.s.121.visible=1
unit.1.4.port.-1.s.122.alias=
unit.1.4.port.-1.s.122.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.122.name=LL_DOUT_OUT[22]
unit.1.4.port.-1.s.122.orderindex=-1
unit.1.4.port.-1.s.122.visible=1
unit.1.4.port.-1.s.123.alias=
unit.1.4.port.-1.s.123.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.123.name=LL_DOUT_OUT[23]
unit.1.4.port.-1.s.123.orderindex=-1
unit.1.4.port.-1.s.123.visible=1
unit.1.4.port.-1.s.124.alias=
unit.1.4.port.-1.s.124.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.124.name=LL_DOUT_OUT[24]
unit.1.4.port.-1.s.124.orderindex=-1
unit.1.4.port.-1.s.124.visible=1
unit.1.4.port.-1.s.125.alias=
unit.1.4.port.-1.s.125.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.125.name=LL_DOUT_OUT[25]
unit.1.4.port.-1.s.125.orderindex=-1
unit.1.4.port.-1.s.125.visible=1
unit.1.4.port.-1.s.126.alias=
unit.1.4.port.-1.s.126.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.126.name=LL_DOUT_OUT[26]
unit.1.4.port.-1.s.126.orderindex=-1
unit.1.4.port.-1.s.126.visible=1
unit.1.4.port.-1.s.127.alias=
unit.1.4.port.-1.s.127.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.127.name=LL_DOUT_OUT[27]
unit.1.4.port.-1.s.127.orderindex=-1
unit.1.4.port.-1.s.127.visible=1
unit.1.4.port.-1.s.128.alias=
unit.1.4.port.-1.s.128.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.128.name=LL_DOUT_OUT[28]
unit.1.4.port.-1.s.128.orderindex=-1
unit.1.4.port.-1.s.128.visible=1
unit.1.4.port.-1.s.129.alias=
unit.1.4.port.-1.s.129.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.129.name=LL_DOUT_OUT[29]
unit.1.4.port.-1.s.129.orderindex=-1
unit.1.4.port.-1.s.129.visible=1
unit.1.4.port.-1.s.13.alias=
unit.1.4.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.13.name=USER_DIN[9]
unit.1.4.port.-1.s.13.orderindex=-1
unit.1.4.port.-1.s.13.visible=1
unit.1.4.port.-1.s.130.alias=
unit.1.4.port.-1.s.130.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.130.name=LL_DOUT_OUT[30]
unit.1.4.port.-1.s.130.orderindex=-1
unit.1.4.port.-1.s.130.visible=1
unit.1.4.port.-1.s.131.alias=
unit.1.4.port.-1.s.131.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.131.name=LL_DOUT_OUT[31]
unit.1.4.port.-1.s.131.orderindex=-1
unit.1.4.port.-1.s.131.visible=1
unit.1.4.port.-1.s.132.alias=
unit.1.4.port.-1.s.132.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.132.name=CMD_TYPE[0]
unit.1.4.port.-1.s.132.orderindex=-1
unit.1.4.port.-1.s.132.visible=1
unit.1.4.port.-1.s.133.alias=
unit.1.4.port.-1.s.133.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.133.name=CMD_TYPE[1]
unit.1.4.port.-1.s.133.orderindex=-1
unit.1.4.port.-1.s.133.visible=1
unit.1.4.port.-1.s.134.alias=LL_CMD_TYPE_OUT[0]
unit.1.4.port.-1.s.134.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.134.name=CMD_TYPE[2]
unit.1.4.port.-1.s.134.orderindex=-1
unit.1.4.port.-1.s.134.visible=1
unit.1.4.port.-1.s.135.alias=LL_CMD_TYPE_OUT[1]
unit.1.4.port.-1.s.135.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.135.name=LL_CMD_TYPE_OUT[0]
unit.1.4.port.-1.s.135.orderindex=-1
unit.1.4.port.-1.s.135.visible=1
unit.1.4.port.-1.s.136.alias=SECTOR_TIMER[0]
unit.1.4.port.-1.s.136.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.136.name=LL_CMD_TYPE_OUT[1]
unit.1.4.port.-1.s.136.orderindex=-1
unit.1.4.port.-1.s.136.visible=1
unit.1.4.port.-1.s.137.alias=SECTOR_TIMER[1]
unit.1.4.port.-1.s.137.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.137.name=LL_CMD_TYPE_OUT[2]
unit.1.4.port.-1.s.137.orderindex=-1
unit.1.4.port.-1.s.137.visible=1
unit.1.4.port.-1.s.138.alias=SECTOR_TIMER[2]
unit.1.4.port.-1.s.138.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.138.name=SECTOR_TIMER[0]
unit.1.4.port.-1.s.138.orderindex=-1
unit.1.4.port.-1.s.138.visible=1
unit.1.4.port.-1.s.139.alias=SECTOR_TIMER[3]
unit.1.4.port.-1.s.139.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.139.name=SECTOR_TIMER[1]
unit.1.4.port.-1.s.139.orderindex=-1
unit.1.4.port.-1.s.139.visible=1
unit.1.4.port.-1.s.14.alias=
unit.1.4.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.14.name=USER_DIN[10]
unit.1.4.port.-1.s.14.orderindex=-1
unit.1.4.port.-1.s.14.visible=1
unit.1.4.port.-1.s.140.alias=SECTOR_TIMER[4]
unit.1.4.port.-1.s.140.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.140.name=SECTOR_TIMER[2]
unit.1.4.port.-1.s.140.orderindex=-1
unit.1.4.port.-1.s.140.visible=1
unit.1.4.port.-1.s.141.alias=SECTOR_TIMER[5]
unit.1.4.port.-1.s.141.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.141.name=SECTOR_TIMER[3]
unit.1.4.port.-1.s.141.orderindex=-1
unit.1.4.port.-1.s.141.visible=1
unit.1.4.port.-1.s.142.alias=SECTOR_TIMER[6]
unit.1.4.port.-1.s.142.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.142.name=SECTOR_TIMER[4]
unit.1.4.port.-1.s.142.orderindex=-1
unit.1.4.port.-1.s.142.visible=1
unit.1.4.port.-1.s.143.alias=SECTOR_TIMER[7]
unit.1.4.port.-1.s.143.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.143.name=SECTOR_TIMER[5]
unit.1.4.port.-1.s.143.orderindex=-1
unit.1.4.port.-1.s.143.visible=1
unit.1.4.port.-1.s.144.alias=SECTOR_TIMER[8]
unit.1.4.port.-1.s.144.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.144.name=SECTOR_TIMER[6]
unit.1.4.port.-1.s.144.orderindex=-1
unit.1.4.port.-1.s.144.visible=1
unit.1.4.port.-1.s.145.alias=SECTOR_TIMER[9]
unit.1.4.port.-1.s.145.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.145.name=SECTOR_TIMER[7]
unit.1.4.port.-1.s.145.orderindex=-1
unit.1.4.port.-1.s.145.visible=1
unit.1.4.port.-1.s.146.alias=SECTOR_TIMER[10]
unit.1.4.port.-1.s.146.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.146.name=SECTOR_TIMER[8]
unit.1.4.port.-1.s.146.orderindex=-1
unit.1.4.port.-1.s.146.visible=1
unit.1.4.port.-1.s.147.alias=SECTOR_TIMER[11]
unit.1.4.port.-1.s.147.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.147.name=SECTOR_TIMER[9]
unit.1.4.port.-1.s.147.orderindex=-1
unit.1.4.port.-1.s.147.visible=1
unit.1.4.port.-1.s.148.alias=SECTOR_TIMER[12]
unit.1.4.port.-1.s.148.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.148.name=SECTOR_TIMER[10]
unit.1.4.port.-1.s.148.orderindex=-1
unit.1.4.port.-1.s.148.visible=1
unit.1.4.port.-1.s.149.alias=SECTOR_TIMER[13]
unit.1.4.port.-1.s.149.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.149.name=SECTOR_TIMER[11]
unit.1.4.port.-1.s.149.orderindex=-1
unit.1.4.port.-1.s.149.visible=1
unit.1.4.port.-1.s.15.alias=
unit.1.4.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.15.name=USER_DIN[11]
unit.1.4.port.-1.s.15.orderindex=-1
unit.1.4.port.-1.s.15.visible=1
unit.1.4.port.-1.s.150.alias=SECTOR_TIMER[14]
unit.1.4.port.-1.s.150.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.150.name=SECTOR_TIMER[12]
unit.1.4.port.-1.s.150.orderindex=-1
unit.1.4.port.-1.s.150.visible=1
unit.1.4.port.-1.s.151.alias=SECTOR_TIMER[15]
unit.1.4.port.-1.s.151.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.151.name=SECTOR_TIMER[13]
unit.1.4.port.-1.s.151.orderindex=-1
unit.1.4.port.-1.s.151.visible=1
unit.1.4.port.-1.s.152.alias=SECTOR_TIMER[16]
unit.1.4.port.-1.s.152.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.152.name=SECTOR_TIMER[14]
unit.1.4.port.-1.s.152.orderindex=-1
unit.1.4.port.-1.s.152.visible=1
unit.1.4.port.-1.s.153.alias=SECTOR_TIMER[17]
unit.1.4.port.-1.s.153.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.153.name=SECTOR_TIMER[15]
unit.1.4.port.-1.s.153.orderindex=-1
unit.1.4.port.-1.s.153.visible=1
unit.1.4.port.-1.s.154.alias=SECTOR_TIMER[18]
unit.1.4.port.-1.s.154.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.154.name=SECTOR_TIMER[16]
unit.1.4.port.-1.s.154.orderindex=-1
unit.1.4.port.-1.s.154.visible=1
unit.1.4.port.-1.s.155.alias=SECTOR_TIMER[19]
unit.1.4.port.-1.s.155.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.155.name=SECTOR_TIMER[17]
unit.1.4.port.-1.s.155.orderindex=-1
unit.1.4.port.-1.s.155.visible=1
unit.1.4.port.-1.s.156.alias=SECTOR_TIMER[20]
unit.1.4.port.-1.s.156.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.156.name=SECTOR_TIMER[18]
unit.1.4.port.-1.s.156.orderindex=-1
unit.1.4.port.-1.s.156.visible=1
unit.1.4.port.-1.s.157.alias=SECTOR_TIMER[21]
unit.1.4.port.-1.s.157.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.157.name=SECTOR_TIMER[19]
unit.1.4.port.-1.s.157.orderindex=-1
unit.1.4.port.-1.s.157.visible=1
unit.1.4.port.-1.s.158.alias=SECTOR_TIMER[22]
unit.1.4.port.-1.s.158.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.158.name=SECTOR_TIMER[20]
unit.1.4.port.-1.s.158.orderindex=-1
unit.1.4.port.-1.s.158.visible=1
unit.1.4.port.-1.s.159.alias=SECTOR_TIMER[23]
unit.1.4.port.-1.s.159.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.159.name=SECTOR_TIMER[21]
unit.1.4.port.-1.s.159.orderindex=-1
unit.1.4.port.-1.s.159.visible=1
unit.1.4.port.-1.s.16.alias=
unit.1.4.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.16.name=USER_DIN[12]
unit.1.4.port.-1.s.16.orderindex=-1
unit.1.4.port.-1.s.16.visible=1
unit.1.4.port.-1.s.160.alias=SECTOR_TIMER[24]
unit.1.4.port.-1.s.160.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.160.name=SECTOR_TIMER[22]
unit.1.4.port.-1.s.160.orderindex=-1
unit.1.4.port.-1.s.160.visible=1
unit.1.4.port.-1.s.161.alias=SECTOR_TIMER[25]
unit.1.4.port.-1.s.161.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.161.name=SECTOR_TIMER[23]
unit.1.4.port.-1.s.161.orderindex=-1
unit.1.4.port.-1.s.161.visible=1
unit.1.4.port.-1.s.162.alias=SECTOR_TIMER[26]
unit.1.4.port.-1.s.162.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.162.name=SECTOR_TIMER[24]
unit.1.4.port.-1.s.162.orderindex=-1
unit.1.4.port.-1.s.162.visible=1
unit.1.4.port.-1.s.163.alias=SECTOR_TIMER[27]
unit.1.4.port.-1.s.163.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.163.name=SECTOR_TIMER[25]
unit.1.4.port.-1.s.163.orderindex=-1
unit.1.4.port.-1.s.163.visible=1
unit.1.4.port.-1.s.164.alias=SECTOR_TIMER[28]
unit.1.4.port.-1.s.164.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.164.name=SECTOR_TIMER[26]
unit.1.4.port.-1.s.164.orderindex=-1
unit.1.4.port.-1.s.164.visible=1
unit.1.4.port.-1.s.165.alias=SECTOR_TIMER[29]
unit.1.4.port.-1.s.165.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.165.name=SECTOR_TIMER[27]
unit.1.4.port.-1.s.165.orderindex=-1
unit.1.4.port.-1.s.165.visible=1
unit.1.4.port.-1.s.166.alias=SECTOR_TIMER[30]
unit.1.4.port.-1.s.166.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.166.name=SECTOR_TIMER[28]
unit.1.4.port.-1.s.166.orderindex=-1
unit.1.4.port.-1.s.166.visible=1
unit.1.4.port.-1.s.167.alias=SECTOR_TIMER[31]
unit.1.4.port.-1.s.167.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.167.name=SECTOR_TIMER[29]
unit.1.4.port.-1.s.167.orderindex=-1
unit.1.4.port.-1.s.167.visible=1
unit.1.4.port.-1.s.168.alias=SECTOR_ADDR[0]
unit.1.4.port.-1.s.168.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.168.name=SECTOR_TIMER[30]
unit.1.4.port.-1.s.168.orderindex=-1
unit.1.4.port.-1.s.168.visible=1
unit.1.4.port.-1.s.169.alias=SECTOR_ADDR[1]
unit.1.4.port.-1.s.169.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.169.name=SECTOR_TIMER[31]
unit.1.4.port.-1.s.169.orderindex=-1
unit.1.4.port.-1.s.169.visible=1
unit.1.4.port.-1.s.17.alias=
unit.1.4.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.17.name=USER_DIN[13]
unit.1.4.port.-1.s.17.orderindex=-1
unit.1.4.port.-1.s.17.visible=1
unit.1.4.port.-1.s.170.alias=SECTOR_ADDR[2]
unit.1.4.port.-1.s.170.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.170.name=SECTOR_ADDR[0]
unit.1.4.port.-1.s.170.orderindex=-1
unit.1.4.port.-1.s.170.visible=1
unit.1.4.port.-1.s.171.alias=SECTOR_ADDR[3]
unit.1.4.port.-1.s.171.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.171.name=SECTOR_ADDR[1]
unit.1.4.port.-1.s.171.orderindex=-1
unit.1.4.port.-1.s.171.visible=1
unit.1.4.port.-1.s.172.alias=SECTOR_ADDR[4]
unit.1.4.port.-1.s.172.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.172.name=SECTOR_ADDR[2]
unit.1.4.port.-1.s.172.orderindex=-1
unit.1.4.port.-1.s.172.visible=1
unit.1.4.port.-1.s.173.alias=SECTOR_ADDR[5]
unit.1.4.port.-1.s.173.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.173.name=SECTOR_ADDR[3]
unit.1.4.port.-1.s.173.orderindex=-1
unit.1.4.port.-1.s.173.visible=1
unit.1.4.port.-1.s.174.alias=SECTOR_ADDR[6]
unit.1.4.port.-1.s.174.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.174.name=SECTOR_ADDR[4]
unit.1.4.port.-1.s.174.orderindex=-1
unit.1.4.port.-1.s.174.visible=1
unit.1.4.port.-1.s.175.alias=SECTOR_ADDR[7]
unit.1.4.port.-1.s.175.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.175.name=SECTOR_ADDR[5]
unit.1.4.port.-1.s.175.orderindex=-1
unit.1.4.port.-1.s.175.visible=1
unit.1.4.port.-1.s.176.alias=SECTOR_ADDR[8]
unit.1.4.port.-1.s.176.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.176.name=SECTOR_ADDR[6]
unit.1.4.port.-1.s.176.orderindex=-1
unit.1.4.port.-1.s.176.visible=1
unit.1.4.port.-1.s.177.alias=SECTOR_ADDR[9]
unit.1.4.port.-1.s.177.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.177.name=SECTOR_ADDR[7]
unit.1.4.port.-1.s.177.orderindex=-1
unit.1.4.port.-1.s.177.visible=1
unit.1.4.port.-1.s.178.alias=SECTOR_ADDR[10]
unit.1.4.port.-1.s.178.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.178.name=SECTOR_ADDR[8]
unit.1.4.port.-1.s.178.orderindex=-1
unit.1.4.port.-1.s.178.visible=1
unit.1.4.port.-1.s.179.alias=SECTOR_ADDR[11]
unit.1.4.port.-1.s.179.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.179.name=SECTOR_ADDR[9]
unit.1.4.port.-1.s.179.orderindex=-1
unit.1.4.port.-1.s.179.visible=1
unit.1.4.port.-1.s.18.alias=
unit.1.4.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.18.name=USER_DIN[14]
unit.1.4.port.-1.s.18.orderindex=-1
unit.1.4.port.-1.s.18.visible=1
unit.1.4.port.-1.s.180.alias=SECTOR_ADDR[12]
unit.1.4.port.-1.s.180.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.180.name=SECTOR_ADDR[10]
unit.1.4.port.-1.s.180.orderindex=-1
unit.1.4.port.-1.s.180.visible=1
unit.1.4.port.-1.s.181.alias=SECTOR_ADDR[13]
unit.1.4.port.-1.s.181.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.181.name=SECTOR_ADDR[11]
unit.1.4.port.-1.s.181.orderindex=-1
unit.1.4.port.-1.s.181.visible=1
unit.1.4.port.-1.s.182.alias=SECTOR_ADDR[14]
unit.1.4.port.-1.s.182.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.182.name=SECTOR_ADDR[12]
unit.1.4.port.-1.s.182.orderindex=-1
unit.1.4.port.-1.s.182.visible=1
unit.1.4.port.-1.s.183.alias=SECTOR_ADDR[15]
unit.1.4.port.-1.s.183.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.183.name=SECTOR_ADDR[13]
unit.1.4.port.-1.s.183.orderindex=-1
unit.1.4.port.-1.s.183.visible=1
unit.1.4.port.-1.s.184.alias=SECTOR_ADDR[16]
unit.1.4.port.-1.s.184.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.184.name=SECTOR_ADDR[14]
unit.1.4.port.-1.s.184.orderindex=-1
unit.1.4.port.-1.s.184.visible=1
unit.1.4.port.-1.s.185.alias=SECTOR_ADDR[17]
unit.1.4.port.-1.s.185.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.185.name=SECTOR_ADDR[15]
unit.1.4.port.-1.s.185.orderindex=-1
unit.1.4.port.-1.s.185.visible=1
unit.1.4.port.-1.s.186.alias=SECTOR_ADDR[18]
unit.1.4.port.-1.s.186.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.186.name=SECTOR_ADDR[16]
unit.1.4.port.-1.s.186.orderindex=-1
unit.1.4.port.-1.s.186.visible=1
unit.1.4.port.-1.s.187.alias=SECTOR_ADDR[19]
unit.1.4.port.-1.s.187.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.187.name=SECTOR_ADDR[17]
unit.1.4.port.-1.s.187.orderindex=-1
unit.1.4.port.-1.s.187.visible=1
unit.1.4.port.-1.s.188.alias=SECTOR_ADDR[20]
unit.1.4.port.-1.s.188.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.188.name=SECTOR_ADDR[18]
unit.1.4.port.-1.s.188.orderindex=-1
unit.1.4.port.-1.s.188.visible=1
unit.1.4.port.-1.s.189.alias=SECTOR_ADDR[21]
unit.1.4.port.-1.s.189.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.189.name=SECTOR_ADDR[19]
unit.1.4.port.-1.s.189.orderindex=-1
unit.1.4.port.-1.s.189.visible=1
unit.1.4.port.-1.s.19.alias=
unit.1.4.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.19.name=USER_DIN[15]
unit.1.4.port.-1.s.19.orderindex=-1
unit.1.4.port.-1.s.19.visible=1
unit.1.4.port.-1.s.190.alias=SECTOR_ADDR[22]
unit.1.4.port.-1.s.190.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.190.name=SECTOR_ADDR[20]
unit.1.4.port.-1.s.190.orderindex=-1
unit.1.4.port.-1.s.190.visible=1
unit.1.4.port.-1.s.191.alias=SECTOR_ADDR[23]
unit.1.4.port.-1.s.191.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.191.name=SECTOR_ADDR[21]
unit.1.4.port.-1.s.191.orderindex=-1
unit.1.4.port.-1.s.191.visible=1
unit.1.4.port.-1.s.192.alias=SECTOR_ADDR[24]
unit.1.4.port.-1.s.192.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.192.name=SECTOR_ADDR[22]
unit.1.4.port.-1.s.192.orderindex=-1
unit.1.4.port.-1.s.192.visible=1
unit.1.4.port.-1.s.193.alias=SECTOR_ADDR[25]
unit.1.4.port.-1.s.193.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.193.name=SECTOR_ADDR[23]
unit.1.4.port.-1.s.193.orderindex=-1
unit.1.4.port.-1.s.193.visible=1
unit.1.4.port.-1.s.194.alias=SECTOR_ADDR[26]
unit.1.4.port.-1.s.194.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.194.name=SECTOR_ADDR[24]
unit.1.4.port.-1.s.194.orderindex=-1
unit.1.4.port.-1.s.194.visible=1
unit.1.4.port.-1.s.195.alias=SECTOR_ADDR[27]
unit.1.4.port.-1.s.195.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.195.name=SECTOR_ADDR[25]
unit.1.4.port.-1.s.195.orderindex=-1
unit.1.4.port.-1.s.195.visible=1
unit.1.4.port.-1.s.196.alias=SECTOR_ADDR[28]
unit.1.4.port.-1.s.196.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.196.name=SECTOR_ADDR[26]
unit.1.4.port.-1.s.196.orderindex=-1
unit.1.4.port.-1.s.196.visible=1
unit.1.4.port.-1.s.197.alias=SECTOR_ADDR[29]
unit.1.4.port.-1.s.197.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.197.name=SECTOR_ADDR[27]
unit.1.4.port.-1.s.197.orderindex=-1
unit.1.4.port.-1.s.197.visible=1
unit.1.4.port.-1.s.198.alias=SECTOR_ADDR[30]
unit.1.4.port.-1.s.198.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.198.name=SECTOR_ADDR[28]
unit.1.4.port.-1.s.198.orderindex=-1
unit.1.4.port.-1.s.198.visible=1
unit.1.4.port.-1.s.199.alias=SECTOR_ADDR[31]
unit.1.4.port.-1.s.199.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.199.name=SECTOR_ADDR[29]
unit.1.4.port.-1.s.199.orderindex=-1
unit.1.4.port.-1.s.199.visible=1
unit.1.4.port.-1.s.2.alias=
unit.1.4.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.2.name=COMMAND_FSM_VALUE[2]
unit.1.4.port.-1.s.2.orderindex=-1
unit.1.4.port.-1.s.2.visible=1
unit.1.4.port.-1.s.20.alias=
unit.1.4.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.20.name=USER_DIN[16]
unit.1.4.port.-1.s.20.orderindex=-1
unit.1.4.port.-1.s.20.visible=1
unit.1.4.port.-1.s.200.alias=REG_FIS.LBA[0]
unit.1.4.port.-1.s.200.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.200.name=SECTOR_ADDR[30]
unit.1.4.port.-1.s.200.orderindex=-1
unit.1.4.port.-1.s.200.visible=1
unit.1.4.port.-1.s.201.alias=REG_FIS.LBA[1]
unit.1.4.port.-1.s.201.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.201.name=SECTOR_ADDR[31]
unit.1.4.port.-1.s.201.orderindex=-1
unit.1.4.port.-1.s.201.visible=1
unit.1.4.port.-1.s.202.alias=REG_FIS.LBA[2]
unit.1.4.port.-1.s.202.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.202.name=REG_FIS.LBA[0]
unit.1.4.port.-1.s.202.orderindex=-1
unit.1.4.port.-1.s.202.visible=1
unit.1.4.port.-1.s.203.alias=REG_FIS.LBA[3]
unit.1.4.port.-1.s.203.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.203.name=REG_FIS.LBA[1]
unit.1.4.port.-1.s.203.orderindex=-1
unit.1.4.port.-1.s.203.visible=1
unit.1.4.port.-1.s.204.alias=REG_FIS.LBA[4]
unit.1.4.port.-1.s.204.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.204.name=REG_FIS.LBA[2]
unit.1.4.port.-1.s.204.orderindex=-1
unit.1.4.port.-1.s.204.visible=1
unit.1.4.port.-1.s.205.alias=REG_FIS.LBA[5]
unit.1.4.port.-1.s.205.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.205.name=REG_FIS.LBA[3]
unit.1.4.port.-1.s.205.orderindex=-1
unit.1.4.port.-1.s.205.visible=1
unit.1.4.port.-1.s.206.alias=REG_FIS.LBA[6]
unit.1.4.port.-1.s.206.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.206.name=REG_FIS.LBA[4]
unit.1.4.port.-1.s.206.orderindex=-1
unit.1.4.port.-1.s.206.visible=1
unit.1.4.port.-1.s.207.alias=REG_FIS.LBA[7]
unit.1.4.port.-1.s.207.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.207.name=REG_FIS.LBA[5]
unit.1.4.port.-1.s.207.orderindex=-1
unit.1.4.port.-1.s.207.visible=1
unit.1.4.port.-1.s.208.alias=REG_FIS.LBA[8]
unit.1.4.port.-1.s.208.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.208.name=REG_FIS.LBA[6]
unit.1.4.port.-1.s.208.orderindex=-1
unit.1.4.port.-1.s.208.visible=1
unit.1.4.port.-1.s.209.alias=REG_FIS.LBA[9]
unit.1.4.port.-1.s.209.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.209.name=REG_FIS.LBA[7]
unit.1.4.port.-1.s.209.orderindex=-1
unit.1.4.port.-1.s.209.visible=1
unit.1.4.port.-1.s.21.alias=
unit.1.4.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.21.name=USER_DIN[17]
unit.1.4.port.-1.s.21.orderindex=-1
unit.1.4.port.-1.s.21.visible=1
unit.1.4.port.-1.s.210.alias=REG_FIS.LBA[10]
unit.1.4.port.-1.s.210.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.210.name=REG_FIS.LBA[8]
unit.1.4.port.-1.s.210.orderindex=-1
unit.1.4.port.-1.s.210.visible=1
unit.1.4.port.-1.s.211.alias=REG_FIS.LBA[11]
unit.1.4.port.-1.s.211.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.211.name=REG_FIS.LBA[9]
unit.1.4.port.-1.s.211.orderindex=-1
unit.1.4.port.-1.s.211.visible=1
unit.1.4.port.-1.s.212.alias=REG_FIS.LBA[12]
unit.1.4.port.-1.s.212.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.212.name=REG_FIS.LBA[10]
unit.1.4.port.-1.s.212.orderindex=-1
unit.1.4.port.-1.s.212.visible=1
unit.1.4.port.-1.s.213.alias=REG_FIS.LBA[13]
unit.1.4.port.-1.s.213.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.213.name=REG_FIS.LBA[11]
unit.1.4.port.-1.s.213.orderindex=-1
unit.1.4.port.-1.s.213.visible=1
unit.1.4.port.-1.s.214.alias=REG_FIS.LBA[14]
unit.1.4.port.-1.s.214.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.214.name=REG_FIS.LBA[12]
unit.1.4.port.-1.s.214.orderindex=-1
unit.1.4.port.-1.s.214.visible=1
unit.1.4.port.-1.s.215.alias=REG_FIS.LBA[15]
unit.1.4.port.-1.s.215.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.215.name=REG_FIS.LBA[13]
unit.1.4.port.-1.s.215.orderindex=-1
unit.1.4.port.-1.s.215.visible=1
unit.1.4.port.-1.s.216.alias=REG_FIS.LBA[16]
unit.1.4.port.-1.s.216.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.216.name=REG_FIS.LBA[14]
unit.1.4.port.-1.s.216.orderindex=-1
unit.1.4.port.-1.s.216.visible=1
unit.1.4.port.-1.s.217.alias=REG_FIS.LBA[17]
unit.1.4.port.-1.s.217.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.217.name=REG_FIS.LBA[15]
unit.1.4.port.-1.s.217.orderindex=-1
unit.1.4.port.-1.s.217.visible=1
unit.1.4.port.-1.s.218.alias=REG_FIS.LBA[18]
unit.1.4.port.-1.s.218.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.218.name=REG_FIS.LBA[16]
unit.1.4.port.-1.s.218.orderindex=-1
unit.1.4.port.-1.s.218.visible=1
unit.1.4.port.-1.s.219.alias=REG_FIS.LBA[19]
unit.1.4.port.-1.s.219.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.219.name=REG_FIS.LBA[17]
unit.1.4.port.-1.s.219.orderindex=-1
unit.1.4.port.-1.s.219.visible=1
unit.1.4.port.-1.s.22.alias=
unit.1.4.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.22.name=USER_DIN[18]
unit.1.4.port.-1.s.22.orderindex=-1
unit.1.4.port.-1.s.22.visible=1
unit.1.4.port.-1.s.220.alias=REG_FIS.LBA[20]
unit.1.4.port.-1.s.220.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.220.name=REG_FIS.LBA[18]
unit.1.4.port.-1.s.220.orderindex=-1
unit.1.4.port.-1.s.220.visible=1
unit.1.4.port.-1.s.221.alias=REG_FIS.LBA[21]
unit.1.4.port.-1.s.221.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.221.name=REG_FIS.LBA[19]
unit.1.4.port.-1.s.221.orderindex=-1
unit.1.4.port.-1.s.221.visible=1
unit.1.4.port.-1.s.222.alias=REG_FIS.LBA[22]
unit.1.4.port.-1.s.222.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.222.name=REG_FIS.LBA[20]
unit.1.4.port.-1.s.222.orderindex=-1
unit.1.4.port.-1.s.222.visible=1
unit.1.4.port.-1.s.223.alias=REG_FIS.LBA[23]
unit.1.4.port.-1.s.223.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.223.name=REG_FIS.LBA[21]
unit.1.4.port.-1.s.223.orderindex=-1
unit.1.4.port.-1.s.223.visible=1
unit.1.4.port.-1.s.224.alias=REG_FIS.SECTOR_COUNT[0]
unit.1.4.port.-1.s.224.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.224.name=REG_FIS.LBA[22]
unit.1.4.port.-1.s.224.orderindex=-1
unit.1.4.port.-1.s.224.visible=1
unit.1.4.port.-1.s.225.alias=REG_FIS.SECTOR_COUNT[1]
unit.1.4.port.-1.s.225.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.225.name=REG_FIS.LBA[23]
unit.1.4.port.-1.s.225.orderindex=-1
unit.1.4.port.-1.s.225.visible=1
unit.1.4.port.-1.s.226.alias=REG_FIS.SECTOR_COUNT[2]
unit.1.4.port.-1.s.226.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.226.name=REG_FIS.SECTOR_COUNT[0]
unit.1.4.port.-1.s.226.orderindex=-1
unit.1.4.port.-1.s.226.visible=1
unit.1.4.port.-1.s.227.alias=REG_FIS.SECTOR_COUNT[3]
unit.1.4.port.-1.s.227.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.227.name=REG_FIS.SECTOR_COUNT[1]
unit.1.4.port.-1.s.227.orderindex=-1
unit.1.4.port.-1.s.227.visible=1
unit.1.4.port.-1.s.228.alias=REG_FIS.SECTOR_COUNT[4]
unit.1.4.port.-1.s.228.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.228.name=REG_FIS.SECTOR_COUNT[2]
unit.1.4.port.-1.s.228.orderindex=-1
unit.1.4.port.-1.s.228.visible=1
unit.1.4.port.-1.s.229.alias=REG_FIS.SECTOR_COUNT[5]
unit.1.4.port.-1.s.229.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.229.name=REG_FIS.SECTOR_COUNT[3]
unit.1.4.port.-1.s.229.orderindex=-1
unit.1.4.port.-1.s.229.visible=1
unit.1.4.port.-1.s.23.alias=
unit.1.4.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.23.name=USER_DIN[19]
unit.1.4.port.-1.s.23.orderindex=-1
unit.1.4.port.-1.s.23.visible=1
unit.1.4.port.-1.s.230.alias=REG_FIS.SECTOR_COUNT[6]
unit.1.4.port.-1.s.230.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.230.name=REG_FIS.SECTOR_COUNT[4]
unit.1.4.port.-1.s.230.orderindex=-1
unit.1.4.port.-1.s.230.visible=1
unit.1.4.port.-1.s.231.alias=REG_FIS.SECTOR_COUNT[7]
unit.1.4.port.-1.s.231.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.231.name=REG_FIS.SECTOR_COUNT[5]
unit.1.4.port.-1.s.231.orderindex=-1
unit.1.4.port.-1.s.231.visible=1
unit.1.4.port.-1.s.232.alias=REG_FIS.SECTOR_COUNT[8]
unit.1.4.port.-1.s.232.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.232.name=REG_FIS.SECTOR_COUNT[6]
unit.1.4.port.-1.s.232.orderindex=-1
unit.1.4.port.-1.s.232.visible=1
unit.1.4.port.-1.s.233.alias=REG_FIS.SECTOR_COUNT[9]
unit.1.4.port.-1.s.233.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.233.name=REG_FIS.SECTOR_COUNT[7]
unit.1.4.port.-1.s.233.orderindex=-1
unit.1.4.port.-1.s.233.visible=1
unit.1.4.port.-1.s.234.alias=REG_FIS.SECTOR_COUNT[10]
unit.1.4.port.-1.s.234.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.234.name=REG_FIS.SECTOR_COUNT[8]
unit.1.4.port.-1.s.234.orderindex=-1
unit.1.4.port.-1.s.234.visible=1
unit.1.4.port.-1.s.235.alias=REG_FIS.SECTOR_COUNT[11]
unit.1.4.port.-1.s.235.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.235.name=REG_FIS.SECTOR_COUNT[9]
unit.1.4.port.-1.s.235.orderindex=-1
unit.1.4.port.-1.s.235.visible=1
unit.1.4.port.-1.s.236.alias=REG_FIS.SECTOR_COUNT[12]
unit.1.4.port.-1.s.236.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.236.name=REG_FIS.SECTOR_COUNT[10]
unit.1.4.port.-1.s.236.orderindex=-1
unit.1.4.port.-1.s.236.visible=1
unit.1.4.port.-1.s.237.alias=REG_FIS.SECTOR_COUNT[13]
unit.1.4.port.-1.s.237.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.237.name=REG_FIS.SECTOR_COUNT[11]
unit.1.4.port.-1.s.237.orderindex=-1
unit.1.4.port.-1.s.237.visible=1
unit.1.4.port.-1.s.238.alias=REG_FIS.SECTOR_COUNT[14]
unit.1.4.port.-1.s.238.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.238.name=REG_FIS.SECTOR_COUNT[12]
unit.1.4.port.-1.s.238.orderindex=-1
unit.1.4.port.-1.s.238.visible=1
unit.1.4.port.-1.s.239.alias=REG_FIS.SECTOR_COUNT[15]
unit.1.4.port.-1.s.239.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.239.name=REG_FIS.SECTOR_COUNT[13]
unit.1.4.port.-1.s.239.orderindex=-1
unit.1.4.port.-1.s.239.visible=1
unit.1.4.port.-1.s.24.alias=
unit.1.4.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.24.name=USER_DIN[20]
unit.1.4.port.-1.s.24.orderindex=-1
unit.1.4.port.-1.s.24.visible=1
unit.1.4.port.-1.s.240.alias=NEW_CMD
unit.1.4.port.-1.s.240.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.240.name=REG_FIS.SECTOR_COUNT[14]
unit.1.4.port.-1.s.240.orderindex=-1
unit.1.4.port.-1.s.240.visible=1
unit.1.4.port.-1.s.241.alias=USER_DIN_RE
unit.1.4.port.-1.s.241.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.241.name=REG_FIS.SECTOR_COUNT[15]
unit.1.4.port.-1.s.241.orderindex=-1
unit.1.4.port.-1.s.241.visible=1
unit.1.4.port.-1.s.242.alias=USER_DOUT_RE
unit.1.4.port.-1.s.242.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.242.name=NEW_CMD
unit.1.4.port.-1.s.242.orderindex=-1
unit.1.4.port.-1.s.242.visible=1
unit.1.4.port.-1.s.243.alias=READY_FOR_CMD
unit.1.4.port.-1.s.243.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.243.name=USER_DIN_RE
unit.1.4.port.-1.s.243.orderindex=-1
unit.1.4.port.-1.s.243.visible=1
unit.1.4.port.-1.s.244.alias=CMD_START_OUT
unit.1.4.port.-1.s.244.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.244.name=USER_DOUT_RE
unit.1.4.port.-1.s.244.orderindex=-1
unit.1.4.port.-1.s.244.visible=1
unit.1.4.port.-1.s.245.alias=LL_DOUT_WE_OUT
unit.1.4.port.-1.s.245.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.245.name=READY_FOR_CMD
unit.1.4.port.-1.s.245.orderindex=-1
unit.1.4.port.-1.s.245.visible=1
unit.1.4.port.-1.s.246.alias=LL_DIN_RE_ILA
unit.1.4.port.-1.s.246.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.246.name=CMD_START_OUT
unit.1.4.port.-1.s.246.orderindex=-1
unit.1.4.port.-1.s.246.visible=1
unit.1.4.port.-1.s.247.alias=NCQ_CMD_DONE
unit.1.4.port.-1.s.247.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.247.name=LL_DOUT_WE_OUT
unit.1.4.port.-1.s.247.orderindex=-1
unit.1.4.port.-1.s.247.visible=1
unit.1.4.port.-1.s.248.alias=NEW_CMD_NCQ
unit.1.4.port.-1.s.248.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.248.name=FILL_DONE
unit.1.4.port.-1.s.248.orderindex=-1
unit.1.4.port.-1.s.248.visible=1
unit.1.4.port.-1.s.249.alias=write_fifo_full
unit.1.4.port.-1.s.249.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.249.name=READY_TO_FILL_DATA_OUT
unit.1.4.port.-1.s.249.orderindex=-1
unit.1.4.port.-1.s.249.visible=1
unit.1.4.port.-1.s.25.alias=
unit.1.4.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.25.name=USER_DIN[21]
unit.1.4.port.-1.s.25.orderindex=-1
unit.1.4.port.-1.s.25.visible=1
unit.1.4.port.-1.s.250.alias=USER_FIFO_EMPTY
unit.1.4.port.-1.s.250.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.250.name=NEW_CMD_NCQ
unit.1.4.port.-1.s.250.orderindex=-1
unit.1.4.port.-1.s.250.visible=1
unit.1.4.port.-1.s.251.alias=USER_FIFO_FULL
unit.1.4.port.-1.s.251.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.251.name=WRITE_FIFO_FULL
unit.1.4.port.-1.s.251.orderindex=-1
unit.1.4.port.-1.s.251.visible=1
unit.1.4.port.-1.s.252.alias=DWORD_COUNT[0]
unit.1.4.port.-1.s.252.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.252.name=USER_FIFO_EMPTY
unit.1.4.port.-1.s.252.orderindex=-1
unit.1.4.port.-1.s.252.visible=1
unit.1.4.port.-1.s.253.alias=DWORD_COUNT[1]
unit.1.4.port.-1.s.253.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.253.name=USER_FIFO_FULL
unit.1.4.port.-1.s.253.orderindex=-1
unit.1.4.port.-1.s.253.visible=1
unit.1.4.port.-1.s.254.alias=DWORD_COUNT[2]
unit.1.4.port.-1.s.254.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.254.name=DWORD_COUNT[0]
unit.1.4.port.-1.s.254.orderindex=-1
unit.1.4.port.-1.s.254.visible=1
unit.1.4.port.-1.s.255.alias=DWORD_COUNT[3]
unit.1.4.port.-1.s.255.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.255.name=DWORD_COUNT[1]
unit.1.4.port.-1.s.255.orderindex=-1
unit.1.4.port.-1.s.255.visible=1
unit.1.4.port.-1.s.256.alias=DWORD_COUNT[4]
unit.1.4.port.-1.s.256.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.256.name=DWORD_COUNT[2]
unit.1.4.port.-1.s.256.orderindex=-1
unit.1.4.port.-1.s.256.visible=1
unit.1.4.port.-1.s.257.alias=DWORD_COUNT[5]
unit.1.4.port.-1.s.257.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.257.name=DWORD_COUNT[3]
unit.1.4.port.-1.s.257.orderindex=-1
unit.1.4.port.-1.s.257.visible=1
unit.1.4.port.-1.s.258.alias=DWORD_COUNT[6]
unit.1.4.port.-1.s.258.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.258.name=DWORD_COUNT[4]
unit.1.4.port.-1.s.258.orderindex=-1
unit.1.4.port.-1.s.258.visible=1
unit.1.4.port.-1.s.259.alias=DWORD_COUNT[7]
unit.1.4.port.-1.s.259.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.259.name=DWORD_COUNT[5]
unit.1.4.port.-1.s.259.orderindex=-1
unit.1.4.port.-1.s.259.visible=1
unit.1.4.port.-1.s.26.alias=
unit.1.4.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.26.name=USER_DIN[22]
unit.1.4.port.-1.s.26.orderindex=-1
unit.1.4.port.-1.s.26.visible=1
unit.1.4.port.-1.s.260.alias=DWORD_COUNT[8]
unit.1.4.port.-1.s.260.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.260.name=DWORD_COUNT[6]
unit.1.4.port.-1.s.260.orderindex=-1
unit.1.4.port.-1.s.260.visible=1
unit.1.4.port.-1.s.261.alias=DWORD_COUNT[9]
unit.1.4.port.-1.s.261.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.261.name=DWORD_COUNT[7]
unit.1.4.port.-1.s.261.orderindex=-1
unit.1.4.port.-1.s.261.visible=1
unit.1.4.port.-1.s.262.alias=DWORD_COUNT[10]
unit.1.4.port.-1.s.262.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.262.name=DWORD_COUNT[8]
unit.1.4.port.-1.s.262.orderindex=-1
unit.1.4.port.-1.s.262.visible=1
unit.1.4.port.-1.s.263.alias=DWORD_COUNT[11]
unit.1.4.port.-1.s.263.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.263.name=DWORD_COUNT[9]
unit.1.4.port.-1.s.263.orderindex=-1
unit.1.4.port.-1.s.263.visible=1
unit.1.4.port.-1.s.264.alias=DWORD_COUNT[12]
unit.1.4.port.-1.s.264.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.264.name=DWORD_COUNT[10]
unit.1.4.port.-1.s.264.orderindex=-1
unit.1.4.port.-1.s.264.visible=1
unit.1.4.port.-1.s.265.alias=DWORD_COUNT[13]
unit.1.4.port.-1.s.265.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.265.name=DWORD_COUNT[11]
unit.1.4.port.-1.s.265.orderindex=-1
unit.1.4.port.-1.s.265.visible=1
unit.1.4.port.-1.s.266.alias=DWORD_COUNT[14]
unit.1.4.port.-1.s.266.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.266.name=DWORD_COUNT[12]
unit.1.4.port.-1.s.266.orderindex=-1
unit.1.4.port.-1.s.266.visible=1
unit.1.4.port.-1.s.267.alias=DWORD_COUNT[15]
unit.1.4.port.-1.s.267.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.267.name=DWORD_COUNT[13]
unit.1.4.port.-1.s.267.orderindex=-1
unit.1.4.port.-1.s.267.visible=1
unit.1.4.port.-1.s.268.alias=WRITE_DATA_COUNT[0]
unit.1.4.port.-1.s.268.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.268.name=DWORD_COUNT[14]
unit.1.4.port.-1.s.268.orderindex=-1
unit.1.4.port.-1.s.268.visible=1
unit.1.4.port.-1.s.269.alias=WRITE_DATA_COUNT[1]
unit.1.4.port.-1.s.269.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.269.name=DWORD_COUNT[15]
unit.1.4.port.-1.s.269.orderindex=-1
unit.1.4.port.-1.s.269.visible=1
unit.1.4.port.-1.s.27.alias=
unit.1.4.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.27.name=USER_DIN[23]
unit.1.4.port.-1.s.27.orderindex=-1
unit.1.4.port.-1.s.27.visible=1
unit.1.4.port.-1.s.270.alias=WRITE_DATA_COUNT[2]
unit.1.4.port.-1.s.270.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.270.name=WRITE_DATA_COUNT[0]
unit.1.4.port.-1.s.270.orderindex=-1
unit.1.4.port.-1.s.270.visible=1
unit.1.4.port.-1.s.271.alias=WRITE_DATA_COUNT[3]
unit.1.4.port.-1.s.271.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.271.name=WRITE_DATA_COUNT[1]
unit.1.4.port.-1.s.271.orderindex=-1
unit.1.4.port.-1.s.271.visible=1
unit.1.4.port.-1.s.272.alias=WRITE_DATA_COUNT[4]
unit.1.4.port.-1.s.272.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.272.name=WRITE_DATA_COUNT[2]
unit.1.4.port.-1.s.272.orderindex=-1
unit.1.4.port.-1.s.272.visible=1
unit.1.4.port.-1.s.273.alias=WRITE_DATA_COUNT[5]
unit.1.4.port.-1.s.273.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.273.name=WRITE_DATA_COUNT[3]
unit.1.4.port.-1.s.273.orderindex=-1
unit.1.4.port.-1.s.273.visible=1
unit.1.4.port.-1.s.274.alias=WRITE_DATA_COUNT[6]
unit.1.4.port.-1.s.274.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.274.name=WRITE_DATA_COUNT[4]
unit.1.4.port.-1.s.274.orderindex=-1
unit.1.4.port.-1.s.274.visible=1
unit.1.4.port.-1.s.275.alias=WRITE_DATA_COUNT[7]
unit.1.4.port.-1.s.275.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.275.name=WRITE_DATA_COUNT[5]
unit.1.4.port.-1.s.275.orderindex=-1
unit.1.4.port.-1.s.275.visible=1
unit.1.4.port.-1.s.276.alias=WRITE_DATA_COUNT[8]
unit.1.4.port.-1.s.276.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.276.name=WRITE_DATA_COUNT[6]
unit.1.4.port.-1.s.276.orderindex=-1
unit.1.4.port.-1.s.276.visible=1
unit.1.4.port.-1.s.277.alias=WRITE_DATA_COUNT[9]
unit.1.4.port.-1.s.277.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.277.name=WRITE_DATA_COUNT[7]
unit.1.4.port.-1.s.277.orderindex=-1
unit.1.4.port.-1.s.277.visible=1
unit.1.4.port.-1.s.278.alias=WRITE_DATA_COUNT[10]
unit.1.4.port.-1.s.278.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.278.name=WRITE_DATA_COUNT[8]
unit.1.4.port.-1.s.278.orderindex=-1
unit.1.4.port.-1.s.278.visible=1
unit.1.4.port.-1.s.279.alias=WRITE_DATA_COUNT[11]
unit.1.4.port.-1.s.279.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.279.name=WRITE_DATA_COUNT[9]
unit.1.4.port.-1.s.279.orderindex=-1
unit.1.4.port.-1.s.279.visible=1
unit.1.4.port.-1.s.28.alias=
unit.1.4.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.28.name=USER_DIN[24]
unit.1.4.port.-1.s.28.orderindex=-1
unit.1.4.port.-1.s.28.visible=1
unit.1.4.port.-1.s.280.alias=WRITE_DATA_COUNT[12]
unit.1.4.port.-1.s.280.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.280.name=WRITE_DATA_COUNT[10]
unit.1.4.port.-1.s.280.orderindex=-1
unit.1.4.port.-1.s.280.visible=1
unit.1.4.port.-1.s.281.alias=WRITE_DATA_COUNT[13]
unit.1.4.port.-1.s.281.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.281.name=WRITE_DATA_COUNT[11]
unit.1.4.port.-1.s.281.orderindex=-1
unit.1.4.port.-1.s.281.visible=1
unit.1.4.port.-1.s.282.alias=WRITE_DATA_COUNT[14]
unit.1.4.port.-1.s.282.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.282.name=WRITE_DATA_COUNT[12]
unit.1.4.port.-1.s.282.orderindex=-1
unit.1.4.port.-1.s.282.visible=1
unit.1.4.port.-1.s.283.alias=WRITE_DATA_COUNT[15]
unit.1.4.port.-1.s.283.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.283.name=WRITE_DATA_COUNT[13]
unit.1.4.port.-1.s.283.orderindex=-1
unit.1.4.port.-1.s.283.visible=1
unit.1.4.port.-1.s.284.alias=WRITE_DATA_COUNT[16]
unit.1.4.port.-1.s.284.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.284.name=WRITE_DATA_COUNT[14]
unit.1.4.port.-1.s.284.orderindex=-1
unit.1.4.port.-1.s.284.visible=1
unit.1.4.port.-1.s.285.alias=WRITE_DATA_COUNT[17]
unit.1.4.port.-1.s.285.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.285.name=WRITE_DATA_COUNT[15]
unit.1.4.port.-1.s.285.orderindex=-1
unit.1.4.port.-1.s.285.visible=1
unit.1.4.port.-1.s.286.alias=WRITE_DATA_COUNT[18]
unit.1.4.port.-1.s.286.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.286.name=WRITE_DATA_COUNT[16]
unit.1.4.port.-1.s.286.orderindex=-1
unit.1.4.port.-1.s.286.visible=1
unit.1.4.port.-1.s.287.alias=WRITE_DATA_COUNT[19]
unit.1.4.port.-1.s.287.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.287.name=WRITE_DATA_COUNT[17]
unit.1.4.port.-1.s.287.orderindex=-1
unit.1.4.port.-1.s.287.visible=1
unit.1.4.port.-1.s.288.alias=WRITE_DATA_COUNT[20]
unit.1.4.port.-1.s.288.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.288.name=WRITE_DATA_COUNT[18]
unit.1.4.port.-1.s.288.orderindex=-1
unit.1.4.port.-1.s.288.visible=1
unit.1.4.port.-1.s.289.alias=WRITE_DATA_COUNT[21]
unit.1.4.port.-1.s.289.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.289.name=WRITE_DATA_COUNT[19]
unit.1.4.port.-1.s.289.orderindex=-1
unit.1.4.port.-1.s.289.visible=1
unit.1.4.port.-1.s.29.alias=
unit.1.4.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.29.name=USER_DIN[25]
unit.1.4.port.-1.s.29.orderindex=-1
unit.1.4.port.-1.s.29.visible=1
unit.1.4.port.-1.s.290.alias=WRITE_DATA_COUNT[22]
unit.1.4.port.-1.s.290.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.290.name=WRITE_DATA_COUNT[20]
unit.1.4.port.-1.s.290.orderindex=-1
unit.1.4.port.-1.s.290.visible=1
unit.1.4.port.-1.s.291.alias=WRITE_DATA_COUNT[23]
unit.1.4.port.-1.s.291.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.291.name=WRITE_DATA_COUNT[21]
unit.1.4.port.-1.s.291.orderindex=-1
unit.1.4.port.-1.s.291.visible=1
unit.1.4.port.-1.s.292.alias=WRITE_DATA_COUNT[24]
unit.1.4.port.-1.s.292.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.292.name=WRITE_DATA_COUNT[22]
unit.1.4.port.-1.s.292.orderindex=-1
unit.1.4.port.-1.s.292.visible=1
unit.1.4.port.-1.s.293.alias=WRITE_DATA_COUNT[25]
unit.1.4.port.-1.s.293.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.293.name=WRITE_DATA_COUNT[23]
unit.1.4.port.-1.s.293.orderindex=-1
unit.1.4.port.-1.s.293.visible=1
unit.1.4.port.-1.s.294.alias=WRITE_DATA_COUNT[26]
unit.1.4.port.-1.s.294.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.294.name=WRITE_DATA_COUNT[24]
unit.1.4.port.-1.s.294.orderindex=-1
unit.1.4.port.-1.s.294.visible=1
unit.1.4.port.-1.s.295.alias=WRITE_DATA_COUNT[27]
unit.1.4.port.-1.s.295.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.295.name=WRITE_DATA_COUNT[25]
unit.1.4.port.-1.s.295.orderindex=-1
unit.1.4.port.-1.s.295.visible=1
unit.1.4.port.-1.s.296.alias=WRITE_DATA_COUNT[28]
unit.1.4.port.-1.s.296.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.296.name=WRITE_DATA_COUNT[26]
unit.1.4.port.-1.s.296.orderindex=-1
unit.1.4.port.-1.s.296.visible=1
unit.1.4.port.-1.s.297.alias=WRITE_DATA_COUNT[29]
unit.1.4.port.-1.s.297.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.297.name=WRITE_DATA_COUNT[27]
unit.1.4.port.-1.s.297.orderindex=-1
unit.1.4.port.-1.s.297.visible=1
unit.1.4.port.-1.s.298.alias=WRITE_DATA_COUNT[30]
unit.1.4.port.-1.s.298.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.298.name=WRITE_DATA_COUNT[30]
unit.1.4.port.-1.s.298.orderindex=-1
unit.1.4.port.-1.s.298.visible=1
unit.1.4.port.-1.s.299.alias=WRITE_DATA_COUNT[31]
unit.1.4.port.-1.s.299.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.299.name=WRITE_DATA_COUNT[31]
unit.1.4.port.-1.s.299.orderindex=-1
unit.1.4.port.-1.s.299.visible=1
unit.1.4.port.-1.s.3.alias=
unit.1.4.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.3.name=COMMAND_FSM_VALUE[3]
unit.1.4.port.-1.s.3.orderindex=-1
unit.1.4.port.-1.s.3.visible=1
unit.1.4.port.-1.s.30.alias=
unit.1.4.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.30.name=USER_DIN[26]
unit.1.4.port.-1.s.30.orderindex=-1
unit.1.4.port.-1.s.30.visible=1
unit.1.4.port.-1.s.300.alias=WRITE_DATA_COUNT[30]
unit.1.4.port.-1.s.300.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.300.name=WRITE_DATA_COUNT[30]
unit.1.4.port.-1.s.300.orderindex=-1
unit.1.4.port.-1.s.300.visible=1
unit.1.4.port.-1.s.301.alias=WRITE_DATA_COUNT[31]
unit.1.4.port.-1.s.301.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.301.name=WRITE_DATA_COUNT[31]
unit.1.4.port.-1.s.301.orderindex=-1
unit.1.4.port.-1.s.301.visible=1
unit.1.4.port.-1.s.302.alias=TAG[0]
unit.1.4.port.-1.s.302.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.302.name=TAG[0]
unit.1.4.port.-1.s.302.orderindex=-1
unit.1.4.port.-1.s.302.visible=1
unit.1.4.port.-1.s.303.alias=TAG[1]
unit.1.4.port.-1.s.303.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.303.name=TAG[1]
unit.1.4.port.-1.s.303.orderindex=-1
unit.1.4.port.-1.s.303.visible=1
unit.1.4.port.-1.s.304.alias=TAG[2]
unit.1.4.port.-1.s.304.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.304.name=TAG[2]
unit.1.4.port.-1.s.304.orderindex=-1
unit.1.4.port.-1.s.304.visible=1
unit.1.4.port.-1.s.305.alias=TAG[3]
unit.1.4.port.-1.s.305.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.305.name=TAG[3]
unit.1.4.port.-1.s.305.orderindex=-1
unit.1.4.port.-1.s.305.visible=1
unit.1.4.port.-1.s.306.alias=TAG[4]
unit.1.4.port.-1.s.306.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.306.name=TAG[4]
unit.1.4.port.-1.s.306.orderindex=-1
unit.1.4.port.-1.s.306.visible=1
unit.1.4.port.-1.s.307.alias=COMMAND_COUNT[0]
unit.1.4.port.-1.s.307.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.307.name=COMMAND_COUNT[0]
unit.1.4.port.-1.s.307.orderindex=-1
unit.1.4.port.-1.s.307.visible=1
unit.1.4.port.-1.s.308.alias=COMMAND_COUNT[1]
unit.1.4.port.-1.s.308.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.308.name=COMMAND_COUNT[1]
unit.1.4.port.-1.s.308.orderindex=-1
unit.1.4.port.-1.s.308.visible=1
unit.1.4.port.-1.s.309.alias=COMMAND_COUNT[2]
unit.1.4.port.-1.s.309.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.309.name=COMMAND_COUNT[2]
unit.1.4.port.-1.s.309.orderindex=-1
unit.1.4.port.-1.s.309.visible=1
unit.1.4.port.-1.s.31.alias=
unit.1.4.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.31.name=USER_DIN[27]
unit.1.4.port.-1.s.31.orderindex=-1
unit.1.4.port.-1.s.31.visible=1
unit.1.4.port.-1.s.310.alias=COMMAND_COUNT[3]
unit.1.4.port.-1.s.310.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.310.name=COMMAND_COUNT[3]
unit.1.4.port.-1.s.310.orderindex=-1
unit.1.4.port.-1.s.310.visible=1
unit.1.4.port.-1.s.311.alias=COMMAND_COUNT[4]
unit.1.4.port.-1.s.311.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.311.name=COMMAND_COUNT[4]
unit.1.4.port.-1.s.311.orderindex=-1
unit.1.4.port.-1.s.311.visible=1
unit.1.4.port.-1.s.312.alias=COMMAND_COUNT[5]
unit.1.4.port.-1.s.312.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.312.name=COMMAND_COUNT[5]
unit.1.4.port.-1.s.312.orderindex=-1
unit.1.4.port.-1.s.312.visible=1
unit.1.4.port.-1.s.313.alias=COMMAND_COUNT[6]
unit.1.4.port.-1.s.313.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.313.name=COMMAND_COUNT[6]
unit.1.4.port.-1.s.313.orderindex=-1
unit.1.4.port.-1.s.313.visible=1
unit.1.4.port.-1.s.314.alias=COMMAND_COUNT[7]
unit.1.4.port.-1.s.314.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.314.name=COMMAND_COUNT[7]
unit.1.4.port.-1.s.314.orderindex=-1
unit.1.4.port.-1.s.314.visible=1
unit.1.4.port.-1.s.32.alias=
unit.1.4.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.32.name=USER_DIN[28]
unit.1.4.port.-1.s.32.orderindex=-1
unit.1.4.port.-1.s.32.visible=1
unit.1.4.port.-1.s.33.alias=
unit.1.4.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.33.name=USER_DIN[29]
unit.1.4.port.-1.s.33.orderindex=-1
unit.1.4.port.-1.s.33.visible=1
unit.1.4.port.-1.s.34.alias=
unit.1.4.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.34.name=USER_DIN[30]
unit.1.4.port.-1.s.34.orderindex=-1
unit.1.4.port.-1.s.34.visible=1
unit.1.4.port.-1.s.35.alias=
unit.1.4.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.35.name=USER_DIN[31]
unit.1.4.port.-1.s.35.orderindex=-1
unit.1.4.port.-1.s.35.visible=1
unit.1.4.port.-1.s.36.alias=
unit.1.4.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.36.name=USER_DOUT_ILA[0]
unit.1.4.port.-1.s.36.orderindex=-1
unit.1.4.port.-1.s.36.visible=1
unit.1.4.port.-1.s.37.alias=
unit.1.4.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.37.name=USER_DOUT_ILA[1]
unit.1.4.port.-1.s.37.orderindex=-1
unit.1.4.port.-1.s.37.visible=1
unit.1.4.port.-1.s.38.alias=
unit.1.4.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.38.name=USER_DOUT_ILA[2]
unit.1.4.port.-1.s.38.orderindex=-1
unit.1.4.port.-1.s.38.visible=1
unit.1.4.port.-1.s.39.alias=
unit.1.4.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.39.name=USER_DOUT_ILA[3]
unit.1.4.port.-1.s.39.orderindex=-1
unit.1.4.port.-1.s.39.visible=1
unit.1.4.port.-1.s.4.alias=
unit.1.4.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.4.name=USER_DIN[0]
unit.1.4.port.-1.s.4.orderindex=-1
unit.1.4.port.-1.s.4.visible=1
unit.1.4.port.-1.s.40.alias=
unit.1.4.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.40.name=USER_DOUT_ILA[4]
unit.1.4.port.-1.s.40.orderindex=-1
unit.1.4.port.-1.s.40.visible=1
unit.1.4.port.-1.s.41.alias=
unit.1.4.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.41.name=USER_DOUT_ILA[5]
unit.1.4.port.-1.s.41.orderindex=-1
unit.1.4.port.-1.s.41.visible=1
unit.1.4.port.-1.s.42.alias=
unit.1.4.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.42.name=USER_DOUT_ILA[6]
unit.1.4.port.-1.s.42.orderindex=-1
unit.1.4.port.-1.s.42.visible=1
unit.1.4.port.-1.s.43.alias=
unit.1.4.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.43.name=USER_DOUT_ILA[7]
unit.1.4.port.-1.s.43.orderindex=-1
unit.1.4.port.-1.s.43.visible=1
unit.1.4.port.-1.s.44.alias=
unit.1.4.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.44.name=USER_DOUT_ILA[8]
unit.1.4.port.-1.s.44.orderindex=-1
unit.1.4.port.-1.s.44.visible=1
unit.1.4.port.-1.s.45.alias=
unit.1.4.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.45.name=USER_DOUT_ILA[9]
unit.1.4.port.-1.s.45.orderindex=-1
unit.1.4.port.-1.s.45.visible=1
unit.1.4.port.-1.s.46.alias=
unit.1.4.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.46.name=USER_DOUT_ILA[10]
unit.1.4.port.-1.s.46.orderindex=-1
unit.1.4.port.-1.s.46.visible=1
unit.1.4.port.-1.s.47.alias=
unit.1.4.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.47.name=USER_DOUT_ILA[11]
unit.1.4.port.-1.s.47.orderindex=-1
unit.1.4.port.-1.s.47.visible=1
unit.1.4.port.-1.s.48.alias=
unit.1.4.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.48.name=USER_DOUT_ILA[12]
unit.1.4.port.-1.s.48.orderindex=-1
unit.1.4.port.-1.s.48.visible=1
unit.1.4.port.-1.s.49.alias=
unit.1.4.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.49.name=USER_DOUT_ILA[13]
unit.1.4.port.-1.s.49.orderindex=-1
unit.1.4.port.-1.s.49.visible=1
unit.1.4.port.-1.s.5.alias=
unit.1.4.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.5.name=USER_DIN[1]
unit.1.4.port.-1.s.5.orderindex=-1
unit.1.4.port.-1.s.5.visible=1
unit.1.4.port.-1.s.50.alias=
unit.1.4.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.50.name=USER_DOUT_ILA[14]
unit.1.4.port.-1.s.50.orderindex=-1
unit.1.4.port.-1.s.50.visible=1
unit.1.4.port.-1.s.51.alias=
unit.1.4.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.51.name=USER_DOUT_ILA[15]
unit.1.4.port.-1.s.51.orderindex=-1
unit.1.4.port.-1.s.51.visible=1
unit.1.4.port.-1.s.52.alias=
unit.1.4.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.52.name=USER_DOUT_ILA[16]
unit.1.4.port.-1.s.52.orderindex=-1
unit.1.4.port.-1.s.52.visible=1
unit.1.4.port.-1.s.53.alias=
unit.1.4.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.53.name=USER_DOUT_ILA[17]
unit.1.4.port.-1.s.53.orderindex=-1
unit.1.4.port.-1.s.53.visible=1
unit.1.4.port.-1.s.54.alias=
unit.1.4.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.54.name=USER_DOUT_ILA[18]
unit.1.4.port.-1.s.54.orderindex=-1
unit.1.4.port.-1.s.54.visible=1
unit.1.4.port.-1.s.55.alias=
unit.1.4.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.55.name=USER_DOUT_ILA[19]
unit.1.4.port.-1.s.55.orderindex=-1
unit.1.4.port.-1.s.55.visible=1
unit.1.4.port.-1.s.56.alias=
unit.1.4.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.56.name=USER_DOUT_ILA[20]
unit.1.4.port.-1.s.56.orderindex=-1
unit.1.4.port.-1.s.56.visible=1
unit.1.4.port.-1.s.57.alias=
unit.1.4.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.57.name=USER_DOUT_ILA[21]
unit.1.4.port.-1.s.57.orderindex=-1
unit.1.4.port.-1.s.57.visible=1
unit.1.4.port.-1.s.58.alias=
unit.1.4.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.58.name=USER_DOUT_ILA[22]
unit.1.4.port.-1.s.58.orderindex=-1
unit.1.4.port.-1.s.58.visible=1
unit.1.4.port.-1.s.59.alias=
unit.1.4.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.59.name=USER_DOUT_ILA[23]
unit.1.4.port.-1.s.59.orderindex=-1
unit.1.4.port.-1.s.59.visible=1
unit.1.4.port.-1.s.6.alias=
unit.1.4.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.6.name=USER_DIN[2]
unit.1.4.port.-1.s.6.orderindex=-1
unit.1.4.port.-1.s.6.visible=1
unit.1.4.port.-1.s.60.alias=
unit.1.4.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.60.name=USER_DOUT_ILA[24]
unit.1.4.port.-1.s.60.orderindex=-1
unit.1.4.port.-1.s.60.visible=1
unit.1.4.port.-1.s.61.alias=
unit.1.4.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.61.name=USER_DOUT_ILA[25]
unit.1.4.port.-1.s.61.orderindex=-1
unit.1.4.port.-1.s.61.visible=1
unit.1.4.port.-1.s.62.alias=
unit.1.4.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.62.name=USER_DOUT_ILA[26]
unit.1.4.port.-1.s.62.orderindex=-1
unit.1.4.port.-1.s.62.visible=1
unit.1.4.port.-1.s.63.alias=
unit.1.4.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.63.name=USER_DOUT_ILA[27]
unit.1.4.port.-1.s.63.orderindex=-1
unit.1.4.port.-1.s.63.visible=1
unit.1.4.port.-1.s.64.alias=
unit.1.4.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.64.name=USER_DOUT_ILA[28]
unit.1.4.port.-1.s.64.orderindex=-1
unit.1.4.port.-1.s.64.visible=1
unit.1.4.port.-1.s.65.alias=
unit.1.4.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.65.name=USER_DOUT_ILA[29]
unit.1.4.port.-1.s.65.orderindex=-1
unit.1.4.port.-1.s.65.visible=1
unit.1.4.port.-1.s.66.alias=
unit.1.4.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.66.name=USER_DOUT_ILA[30]
unit.1.4.port.-1.s.66.orderindex=-1
unit.1.4.port.-1.s.66.visible=1
unit.1.4.port.-1.s.67.alias=
unit.1.4.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.67.name=USER_DOUT_ILA[31]
unit.1.4.port.-1.s.67.orderindex=-1
unit.1.4.port.-1.s.67.visible=1
unit.1.4.port.-1.s.68.alias=
unit.1.4.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.68.name=LL_DIN[0]
unit.1.4.port.-1.s.68.orderindex=-1
unit.1.4.port.-1.s.68.visible=1
unit.1.4.port.-1.s.69.alias=
unit.1.4.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.69.name=LL_DIN[1]
unit.1.4.port.-1.s.69.orderindex=-1
unit.1.4.port.-1.s.69.visible=1
unit.1.4.port.-1.s.7.alias=
unit.1.4.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.7.name=USER_DIN[3]
unit.1.4.port.-1.s.7.orderindex=-1
unit.1.4.port.-1.s.7.visible=1
unit.1.4.port.-1.s.70.alias=
unit.1.4.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.70.name=LL_DIN[2]
unit.1.4.port.-1.s.70.orderindex=-1
unit.1.4.port.-1.s.70.visible=1
unit.1.4.port.-1.s.71.alias=
unit.1.4.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.71.name=LL_DIN[3]
unit.1.4.port.-1.s.71.orderindex=-1
unit.1.4.port.-1.s.71.visible=1
unit.1.4.port.-1.s.72.alias=
unit.1.4.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.72.name=LL_DIN[4]
unit.1.4.port.-1.s.72.orderindex=-1
unit.1.4.port.-1.s.72.visible=1
unit.1.4.port.-1.s.73.alias=
unit.1.4.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.73.name=LL_DIN[5]
unit.1.4.port.-1.s.73.orderindex=-1
unit.1.4.port.-1.s.73.visible=1
unit.1.4.port.-1.s.74.alias=
unit.1.4.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.74.name=LL_DIN[6]
unit.1.4.port.-1.s.74.orderindex=-1
unit.1.4.port.-1.s.74.visible=1
unit.1.4.port.-1.s.75.alias=
unit.1.4.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.75.name=LL_DIN[7]
unit.1.4.port.-1.s.75.orderindex=-1
unit.1.4.port.-1.s.75.visible=1
unit.1.4.port.-1.s.76.alias=
unit.1.4.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.76.name=LL_DIN[8]
unit.1.4.port.-1.s.76.orderindex=-1
unit.1.4.port.-1.s.76.visible=1
unit.1.4.port.-1.s.77.alias=
unit.1.4.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.77.name=LL_DIN[9]
unit.1.4.port.-1.s.77.orderindex=-1
unit.1.4.port.-1.s.77.visible=1
unit.1.4.port.-1.s.78.alias=
unit.1.4.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.78.name=LL_DIN[10]
unit.1.4.port.-1.s.78.orderindex=-1
unit.1.4.port.-1.s.78.visible=1
unit.1.4.port.-1.s.79.alias=
unit.1.4.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.79.name=LL_DIN[11]
unit.1.4.port.-1.s.79.orderindex=-1
unit.1.4.port.-1.s.79.visible=1
unit.1.4.port.-1.s.8.alias=
unit.1.4.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.8.name=USER_DIN[4]
unit.1.4.port.-1.s.8.orderindex=-1
unit.1.4.port.-1.s.8.visible=1
unit.1.4.port.-1.s.80.alias=
unit.1.4.port.-1.s.80.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.80.name=LL_DIN[12]
unit.1.4.port.-1.s.80.orderindex=-1
unit.1.4.port.-1.s.80.visible=1
unit.1.4.port.-1.s.81.alias=
unit.1.4.port.-1.s.81.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.81.name=LL_DIN[13]
unit.1.4.port.-1.s.81.orderindex=-1
unit.1.4.port.-1.s.81.visible=1
unit.1.4.port.-1.s.82.alias=
unit.1.4.port.-1.s.82.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.82.name=LL_DIN[14]
unit.1.4.port.-1.s.82.orderindex=-1
unit.1.4.port.-1.s.82.visible=1
unit.1.4.port.-1.s.83.alias=
unit.1.4.port.-1.s.83.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.83.name=LL_DIN[15]
unit.1.4.port.-1.s.83.orderindex=-1
unit.1.4.port.-1.s.83.visible=1
unit.1.4.port.-1.s.84.alias=
unit.1.4.port.-1.s.84.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.84.name=LL_DIN[16]
unit.1.4.port.-1.s.84.orderindex=-1
unit.1.4.port.-1.s.84.visible=1
unit.1.4.port.-1.s.85.alias=
unit.1.4.port.-1.s.85.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.85.name=LL_DIN[17]
unit.1.4.port.-1.s.85.orderindex=-1
unit.1.4.port.-1.s.85.visible=1
unit.1.4.port.-1.s.86.alias=
unit.1.4.port.-1.s.86.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.86.name=LL_DIN[18]
unit.1.4.port.-1.s.86.orderindex=-1
unit.1.4.port.-1.s.86.visible=1
unit.1.4.port.-1.s.87.alias=
unit.1.4.port.-1.s.87.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.87.name=LL_DIN[19]
unit.1.4.port.-1.s.87.orderindex=-1
unit.1.4.port.-1.s.87.visible=1
unit.1.4.port.-1.s.88.alias=
unit.1.4.port.-1.s.88.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.88.name=LL_DIN[20]
unit.1.4.port.-1.s.88.orderindex=-1
unit.1.4.port.-1.s.88.visible=1
unit.1.4.port.-1.s.89.alias=
unit.1.4.port.-1.s.89.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.89.name=LL_DIN[21]
unit.1.4.port.-1.s.89.orderindex=-1
unit.1.4.port.-1.s.89.visible=1
unit.1.4.port.-1.s.9.alias=
unit.1.4.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.9.name=USER_DIN[5]
unit.1.4.port.-1.s.9.orderindex=-1
unit.1.4.port.-1.s.9.visible=1
unit.1.4.port.-1.s.90.alias=
unit.1.4.port.-1.s.90.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.90.name=LL_DIN[22]
unit.1.4.port.-1.s.90.orderindex=-1
unit.1.4.port.-1.s.90.visible=1
unit.1.4.port.-1.s.91.alias=
unit.1.4.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.91.name=LL_DIN[23]
unit.1.4.port.-1.s.91.orderindex=-1
unit.1.4.port.-1.s.91.visible=1
unit.1.4.port.-1.s.92.alias=
unit.1.4.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.92.name=LL_DIN[24]
unit.1.4.port.-1.s.92.orderindex=-1
unit.1.4.port.-1.s.92.visible=1
unit.1.4.port.-1.s.93.alias=
unit.1.4.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.93.name=LL_DIN[25]
unit.1.4.port.-1.s.93.orderindex=-1
unit.1.4.port.-1.s.93.visible=1
unit.1.4.port.-1.s.94.alias=
unit.1.4.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.94.name=LL_DIN[26]
unit.1.4.port.-1.s.94.orderindex=-1
unit.1.4.port.-1.s.94.visible=1
unit.1.4.port.-1.s.95.alias=
unit.1.4.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.95.name=LL_DIN[27]
unit.1.4.port.-1.s.95.orderindex=-1
unit.1.4.port.-1.s.95.visible=1
unit.1.4.port.-1.s.96.alias=
unit.1.4.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.96.name=LL_DIN[28]
unit.1.4.port.-1.s.96.orderindex=-1
unit.1.4.port.-1.s.96.visible=1
unit.1.4.port.-1.s.97.alias=
unit.1.4.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.97.name=LL_DIN[29]
unit.1.4.port.-1.s.97.orderindex=-1
unit.1.4.port.-1.s.97.visible=1
unit.1.4.port.-1.s.98.alias=
unit.1.4.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.98.name=LL_DIN[30]
unit.1.4.port.-1.s.98.orderindex=-1
unit.1.4.port.-1.s.98.visible=1
unit.1.4.port.-1.s.99.alias=
unit.1.4.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.-1.s.99.name=LL_DIN[31]
unit.1.4.port.-1.s.99.orderindex=-1
unit.1.4.port.-1.s.99.visible=1
unit.1.4.port.0.b.0.alias=
unit.1.4.port.0.b.0.channellist=0 1 2 3
unit.1.4.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.0.b.0.name=COMMAND_FSM_VALUE
unit.1.4.port.0.b.0.orderindex=-1
unit.1.4.port.0.b.0.radix=Hex
unit.1.4.port.0.b.0.signedOffset=0.0
unit.1.4.port.0.b.0.signedPrecision=0
unit.1.4.port.0.b.0.signedScaleFactor=1.0
unit.1.4.port.0.b.0.unsignedOffset=0.0
unit.1.4.port.0.b.0.unsignedPrecision=0
unit.1.4.port.0.b.0.unsignedScaleFactor=1.0
unit.1.4.port.0.b.0.visible=1
unit.1.4.port.0.buscount=1
unit.1.4.port.0.channelcount=4
unit.1.4.port.0.s.0.alias=
unit.1.4.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.0.s.0.name=COMMAND_FSM_VALUE[0]
unit.1.4.port.0.s.0.orderindex=-1
unit.1.4.port.0.s.0.visible=1
unit.1.4.port.0.s.1.alias=
unit.1.4.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.0.s.1.name=COMMAND_FSM_VALUE[1]
unit.1.4.port.0.s.1.orderindex=-1
unit.1.4.port.0.s.1.visible=1
unit.1.4.port.0.s.2.alias=
unit.1.4.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.0.s.2.name=COMMAND_FSM_VALUE[2]
unit.1.4.port.0.s.2.orderindex=-1
unit.1.4.port.0.s.2.visible=1
unit.1.4.port.0.s.3.alias=
unit.1.4.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.0.s.3.name=COMMAND_FSM_VALUE[3]
unit.1.4.port.0.s.3.orderindex=-1
unit.1.4.port.0.s.3.visible=1
unit.1.4.port.1.b.0.alias=
unit.1.4.port.1.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.4.port.1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.b.0.name=USER_DIN
unit.1.4.port.1.b.0.orderindex=-1
unit.1.4.port.1.b.0.radix=Hex
unit.1.4.port.1.b.0.signedOffset=0.0
unit.1.4.port.1.b.0.signedPrecision=0
unit.1.4.port.1.b.0.signedScaleFactor=1.0
unit.1.4.port.1.b.0.unsignedOffset=0.0
unit.1.4.port.1.b.0.unsignedPrecision=0
unit.1.4.port.1.b.0.unsignedScaleFactor=1.0
unit.1.4.port.1.b.0.visible=1
unit.1.4.port.1.buscount=1
unit.1.4.port.1.channelcount=32
unit.1.4.port.1.s.0.alias=
unit.1.4.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.0.name=USER_DIN[0]
unit.1.4.port.1.s.0.orderindex=-1
unit.1.4.port.1.s.0.visible=1
unit.1.4.port.1.s.1.alias=
unit.1.4.port.1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.1.name=USER_DIN[1]
unit.1.4.port.1.s.1.orderindex=-1
unit.1.4.port.1.s.1.visible=1
unit.1.4.port.1.s.10.alias=
unit.1.4.port.1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.10.name=USER_DIN[10]
unit.1.4.port.1.s.10.orderindex=-1
unit.1.4.port.1.s.10.visible=1
unit.1.4.port.1.s.11.alias=
unit.1.4.port.1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.11.name=USER_DIN[11]
unit.1.4.port.1.s.11.orderindex=-1
unit.1.4.port.1.s.11.visible=1
unit.1.4.port.1.s.12.alias=
unit.1.4.port.1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.12.name=USER_DIN[12]
unit.1.4.port.1.s.12.orderindex=-1
unit.1.4.port.1.s.12.visible=1
unit.1.4.port.1.s.13.alias=
unit.1.4.port.1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.13.name=USER_DIN[13]
unit.1.4.port.1.s.13.orderindex=-1
unit.1.4.port.1.s.13.visible=1
unit.1.4.port.1.s.14.alias=
unit.1.4.port.1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.14.name=USER_DIN[14]
unit.1.4.port.1.s.14.orderindex=-1
unit.1.4.port.1.s.14.visible=1
unit.1.4.port.1.s.15.alias=
unit.1.4.port.1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.15.name=USER_DIN[15]
unit.1.4.port.1.s.15.orderindex=-1
unit.1.4.port.1.s.15.visible=1
unit.1.4.port.1.s.16.alias=
unit.1.4.port.1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.16.name=USER_DIN[16]
unit.1.4.port.1.s.16.orderindex=-1
unit.1.4.port.1.s.16.visible=1
unit.1.4.port.1.s.17.alias=
unit.1.4.port.1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.17.name=USER_DIN[17]
unit.1.4.port.1.s.17.orderindex=-1
unit.1.4.port.1.s.17.visible=1
unit.1.4.port.1.s.18.alias=
unit.1.4.port.1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.18.name=USER_DIN[18]
unit.1.4.port.1.s.18.orderindex=-1
unit.1.4.port.1.s.18.visible=1
unit.1.4.port.1.s.19.alias=
unit.1.4.port.1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.19.name=USER_DIN[19]
unit.1.4.port.1.s.19.orderindex=-1
unit.1.4.port.1.s.19.visible=1
unit.1.4.port.1.s.2.alias=
unit.1.4.port.1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.2.name=USER_DIN[2]
unit.1.4.port.1.s.2.orderindex=-1
unit.1.4.port.1.s.2.visible=1
unit.1.4.port.1.s.20.alias=
unit.1.4.port.1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.20.name=USER_DIN[20]
unit.1.4.port.1.s.20.orderindex=-1
unit.1.4.port.1.s.20.visible=1
unit.1.4.port.1.s.21.alias=
unit.1.4.port.1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.21.name=USER_DIN[21]
unit.1.4.port.1.s.21.orderindex=-1
unit.1.4.port.1.s.21.visible=1
unit.1.4.port.1.s.22.alias=
unit.1.4.port.1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.22.name=USER_DIN[22]
unit.1.4.port.1.s.22.orderindex=-1
unit.1.4.port.1.s.22.visible=1
unit.1.4.port.1.s.23.alias=
unit.1.4.port.1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.23.name=USER_DIN[23]
unit.1.4.port.1.s.23.orderindex=-1
unit.1.4.port.1.s.23.visible=1
unit.1.4.port.1.s.24.alias=
unit.1.4.port.1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.24.name=USER_DIN[24]
unit.1.4.port.1.s.24.orderindex=-1
unit.1.4.port.1.s.24.visible=1
unit.1.4.port.1.s.25.alias=
unit.1.4.port.1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.25.name=USER_DIN[25]
unit.1.4.port.1.s.25.orderindex=-1
unit.1.4.port.1.s.25.visible=1
unit.1.4.port.1.s.26.alias=
unit.1.4.port.1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.26.name=USER_DIN[26]
unit.1.4.port.1.s.26.orderindex=-1
unit.1.4.port.1.s.26.visible=1
unit.1.4.port.1.s.27.alias=
unit.1.4.port.1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.27.name=USER_DIN[27]
unit.1.4.port.1.s.27.orderindex=-1
unit.1.4.port.1.s.27.visible=1
unit.1.4.port.1.s.28.alias=
unit.1.4.port.1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.28.name=USER_DIN[28]
unit.1.4.port.1.s.28.orderindex=-1
unit.1.4.port.1.s.28.visible=1
unit.1.4.port.1.s.29.alias=
unit.1.4.port.1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.29.name=USER_DIN[29]
unit.1.4.port.1.s.29.orderindex=-1
unit.1.4.port.1.s.29.visible=1
unit.1.4.port.1.s.3.alias=
unit.1.4.port.1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.3.name=USER_DIN[3]
unit.1.4.port.1.s.3.orderindex=-1
unit.1.4.port.1.s.3.visible=1
unit.1.4.port.1.s.30.alias=
unit.1.4.port.1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.30.name=USER_DIN[30]
unit.1.4.port.1.s.30.orderindex=-1
unit.1.4.port.1.s.30.visible=1
unit.1.4.port.1.s.31.alias=
unit.1.4.port.1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.31.name=USER_DIN[31]
unit.1.4.port.1.s.31.orderindex=-1
unit.1.4.port.1.s.31.visible=1
unit.1.4.port.1.s.4.alias=
unit.1.4.port.1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.4.name=USER_DIN[4]
unit.1.4.port.1.s.4.orderindex=-1
unit.1.4.port.1.s.4.visible=1
unit.1.4.port.1.s.5.alias=
unit.1.4.port.1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.5.name=USER_DIN[5]
unit.1.4.port.1.s.5.orderindex=-1
unit.1.4.port.1.s.5.visible=1
unit.1.4.port.1.s.6.alias=
unit.1.4.port.1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.6.name=USER_DIN[6]
unit.1.4.port.1.s.6.orderindex=-1
unit.1.4.port.1.s.6.visible=1
unit.1.4.port.1.s.7.alias=
unit.1.4.port.1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.7.name=USER_DIN[7]
unit.1.4.port.1.s.7.orderindex=-1
unit.1.4.port.1.s.7.visible=1
unit.1.4.port.1.s.8.alias=
unit.1.4.port.1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.8.name=USER_DIN[8]
unit.1.4.port.1.s.8.orderindex=-1
unit.1.4.port.1.s.8.visible=1
unit.1.4.port.1.s.9.alias=
unit.1.4.port.1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.1.s.9.name=USER_DIN[9]
unit.1.4.port.1.s.9.orderindex=-1
unit.1.4.port.1.s.9.visible=1
unit.1.4.port.10.b.0.alias=
unit.1.4.port.10.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.4.port.10.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.b.0.name=REG_FIS.SECTOR_COUNT
unit.1.4.port.10.b.0.orderindex=-1
unit.1.4.port.10.b.0.radix=Hex
unit.1.4.port.10.b.0.signedOffset=0.0
unit.1.4.port.10.b.0.signedPrecision=0
unit.1.4.port.10.b.0.signedScaleFactor=1.0
unit.1.4.port.10.b.0.unsignedOffset=0.0
unit.1.4.port.10.b.0.unsignedPrecision=0
unit.1.4.port.10.b.0.unsignedScaleFactor=1.0
unit.1.4.port.10.b.0.visible=1
unit.1.4.port.10.buscount=1
unit.1.4.port.10.channelcount=16
unit.1.4.port.10.s.0.alias=
unit.1.4.port.10.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.0.name=REG_FIS.SECTOR_COUNT[0]
unit.1.4.port.10.s.0.orderindex=-1
unit.1.4.port.10.s.0.visible=1
unit.1.4.port.10.s.1.alias=
unit.1.4.port.10.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.1.name=REG_FIS.SECTOR_COUNT[1]
unit.1.4.port.10.s.1.orderindex=-1
unit.1.4.port.10.s.1.visible=1
unit.1.4.port.10.s.10.alias=
unit.1.4.port.10.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.10.name=REG_FIS.SECTOR_COUNT[10]
unit.1.4.port.10.s.10.orderindex=-1
unit.1.4.port.10.s.10.visible=1
unit.1.4.port.10.s.11.alias=
unit.1.4.port.10.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.11.name=REG_FIS.SECTOR_COUNT[11]
unit.1.4.port.10.s.11.orderindex=-1
unit.1.4.port.10.s.11.visible=1
unit.1.4.port.10.s.12.alias=
unit.1.4.port.10.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.12.name=REG_FIS.SECTOR_COUNT[12]
unit.1.4.port.10.s.12.orderindex=-1
unit.1.4.port.10.s.12.visible=1
unit.1.4.port.10.s.13.alias=
unit.1.4.port.10.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.13.name=REG_FIS.SECTOR_COUNT[13]
unit.1.4.port.10.s.13.orderindex=-1
unit.1.4.port.10.s.13.visible=1
unit.1.4.port.10.s.14.alias=
unit.1.4.port.10.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.14.name=REG_FIS.SECTOR_COUNT[14]
unit.1.4.port.10.s.14.orderindex=-1
unit.1.4.port.10.s.14.visible=1
unit.1.4.port.10.s.15.alias=
unit.1.4.port.10.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.15.name=REG_FIS.SECTOR_COUNT[15]
unit.1.4.port.10.s.15.orderindex=-1
unit.1.4.port.10.s.15.visible=1
unit.1.4.port.10.s.2.alias=
unit.1.4.port.10.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.2.name=REG_FIS.SECTOR_COUNT[2]
unit.1.4.port.10.s.2.orderindex=-1
unit.1.4.port.10.s.2.visible=1
unit.1.4.port.10.s.3.alias=
unit.1.4.port.10.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.3.name=REG_FIS.SECTOR_COUNT[3]
unit.1.4.port.10.s.3.orderindex=-1
unit.1.4.port.10.s.3.visible=1
unit.1.4.port.10.s.4.alias=
unit.1.4.port.10.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.4.name=REG_FIS.SECTOR_COUNT[4]
unit.1.4.port.10.s.4.orderindex=-1
unit.1.4.port.10.s.4.visible=1
unit.1.4.port.10.s.5.alias=
unit.1.4.port.10.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.5.name=REG_FIS.SECTOR_COUNT[5]
unit.1.4.port.10.s.5.orderindex=-1
unit.1.4.port.10.s.5.visible=1
unit.1.4.port.10.s.6.alias=
unit.1.4.port.10.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.6.name=REG_FIS.SECTOR_COUNT[6]
unit.1.4.port.10.s.6.orderindex=-1
unit.1.4.port.10.s.6.visible=1
unit.1.4.port.10.s.7.alias=
unit.1.4.port.10.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.7.name=REG_FIS.SECTOR_COUNT[7]
unit.1.4.port.10.s.7.orderindex=-1
unit.1.4.port.10.s.7.visible=1
unit.1.4.port.10.s.8.alias=
unit.1.4.port.10.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.8.name=REG_FIS.SECTOR_COUNT[8]
unit.1.4.port.10.s.8.orderindex=-1
unit.1.4.port.10.s.8.visible=1
unit.1.4.port.10.s.9.alias=
unit.1.4.port.10.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.10.s.9.name=REG_FIS.SECTOR_COUNT[9]
unit.1.4.port.10.s.9.orderindex=-1
unit.1.4.port.10.s.9.visible=1
unit.1.4.port.11.b.0.alias=
unit.1.4.port.11.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11
unit.1.4.port.11.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.11.b.0.name=TRIG11
unit.1.4.port.11.b.0.orderindex=-1
unit.1.4.port.11.b.0.radix=Hex
unit.1.4.port.11.b.0.signedOffset=0.0
unit.1.4.port.11.b.0.signedPrecision=0
unit.1.4.port.11.b.0.signedScaleFactor=1.0
unit.1.4.port.11.b.0.unsignedOffset=0.0
unit.1.4.port.11.b.0.unsignedPrecision=0
unit.1.4.port.11.b.0.unsignedScaleFactor=1.0
unit.1.4.port.11.b.0.visible=1
unit.1.4.port.11.buscount=1
unit.1.4.port.11.channelcount=12
unit.1.4.port.11.s.0.alias=
unit.1.4.port.11.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.11.s.0.name=NEW_CMD
unit.1.4.port.11.s.0.orderindex=-1
unit.1.4.port.11.s.0.visible=1
unit.1.4.port.11.s.1.alias=
unit.1.4.port.11.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.11.s.1.name=USER_DIN_RE
unit.1.4.port.11.s.1.orderindex=-1
unit.1.4.port.11.s.1.visible=1
unit.1.4.port.11.s.10.alias=
unit.1.4.port.11.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.11.s.10.name=USER_FIFO_EMPTY
unit.1.4.port.11.s.10.orderindex=-1
unit.1.4.port.11.s.10.visible=1
unit.1.4.port.11.s.11.alias=
unit.1.4.port.11.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.11.s.11.name=USER_FIFO_FULL
unit.1.4.port.11.s.11.orderindex=-1
unit.1.4.port.11.s.11.visible=1
unit.1.4.port.11.s.2.alias=
unit.1.4.port.11.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.11.s.2.name=USER_DOUT_RE
unit.1.4.port.11.s.2.orderindex=-1
unit.1.4.port.11.s.2.visible=1
unit.1.4.port.11.s.3.alias=
unit.1.4.port.11.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.11.s.3.name=READY_FOR_CMD
unit.1.4.port.11.s.3.orderindex=-1
unit.1.4.port.11.s.3.visible=1
unit.1.4.port.11.s.4.alias=
unit.1.4.port.11.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.11.s.4.name=CMD_START_OUT
unit.1.4.port.11.s.4.orderindex=-1
unit.1.4.port.11.s.4.visible=1
unit.1.4.port.11.s.5.alias=
unit.1.4.port.11.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.11.s.5.name=LL_DOUT_WE_OUT
unit.1.4.port.11.s.5.orderindex=-1
unit.1.4.port.11.s.5.visible=1
unit.1.4.port.11.s.6.alias=
unit.1.4.port.11.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.11.s.6.name=LL_DIN_RE_ILA
unit.1.4.port.11.s.6.orderindex=-1
unit.1.4.port.11.s.6.visible=1
unit.1.4.port.11.s.7.alias=NCQ_CMD_DONE
unit.1.4.port.11.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.11.s.7.name=READY_TO_FILL_DATA_OUT
unit.1.4.port.11.s.7.orderindex=-1
unit.1.4.port.11.s.7.visible=1
unit.1.4.port.11.s.8.alias=NEW_CMD_NCQ
unit.1.4.port.11.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.11.s.8.name=FILL_DONE
unit.1.4.port.11.s.8.orderindex=-1
unit.1.4.port.11.s.8.visible=1
unit.1.4.port.11.s.9.alias=write_fifo_full
unit.1.4.port.11.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.11.s.9.name=WRITE_FIFO_FULL
unit.1.4.port.11.s.9.orderindex=-1
unit.1.4.port.11.s.9.visible=1
unit.1.4.port.12.b.0.alias=
unit.1.4.port.12.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
unit.1.4.port.12.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.b.0.name=DWORD_COUNT
unit.1.4.port.12.b.0.orderindex=-1
unit.1.4.port.12.b.0.radix=Hex
unit.1.4.port.12.b.0.signedOffset=0.0
unit.1.4.port.12.b.0.signedPrecision=0
unit.1.4.port.12.b.0.signedScaleFactor=1.0
unit.1.4.port.12.b.0.unsignedOffset=0.0
unit.1.4.port.12.b.0.unsignedPrecision=0
unit.1.4.port.12.b.0.unsignedScaleFactor=1.0
unit.1.4.port.12.b.0.visible=1
unit.1.4.port.12.buscount=1
unit.1.4.port.12.channelcount=16
unit.1.4.port.12.s.0.alias=
unit.1.4.port.12.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.0.name=DWORD_COUNT[0]
unit.1.4.port.12.s.0.orderindex=-1
unit.1.4.port.12.s.0.visible=1
unit.1.4.port.12.s.1.alias=
unit.1.4.port.12.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.1.name=DWORD_COUNT[1]
unit.1.4.port.12.s.1.orderindex=-1
unit.1.4.port.12.s.1.visible=1
unit.1.4.port.12.s.10.alias=
unit.1.4.port.12.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.10.name=DWORD_COUNT[10]
unit.1.4.port.12.s.10.orderindex=-1
unit.1.4.port.12.s.10.visible=1
unit.1.4.port.12.s.11.alias=
unit.1.4.port.12.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.11.name=DWORD_COUNT[11]
unit.1.4.port.12.s.11.orderindex=-1
unit.1.4.port.12.s.11.visible=1
unit.1.4.port.12.s.12.alias=
unit.1.4.port.12.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.12.name=DWORD_COUNT[12]
unit.1.4.port.12.s.12.orderindex=-1
unit.1.4.port.12.s.12.visible=1
unit.1.4.port.12.s.13.alias=
unit.1.4.port.12.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.13.name=DWORD_COUNT[13]
unit.1.4.port.12.s.13.orderindex=-1
unit.1.4.port.12.s.13.visible=1
unit.1.4.port.12.s.14.alias=
unit.1.4.port.12.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.14.name=DWORD_COUNT[14]
unit.1.4.port.12.s.14.orderindex=-1
unit.1.4.port.12.s.14.visible=1
unit.1.4.port.12.s.15.alias=
unit.1.4.port.12.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.15.name=DWORD_COUNT[15]
unit.1.4.port.12.s.15.orderindex=-1
unit.1.4.port.12.s.15.visible=1
unit.1.4.port.12.s.2.alias=
unit.1.4.port.12.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.2.name=DWORD_COUNT[2]
unit.1.4.port.12.s.2.orderindex=-1
unit.1.4.port.12.s.2.visible=1
unit.1.4.port.12.s.3.alias=
unit.1.4.port.12.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.3.name=DWORD_COUNT[3]
unit.1.4.port.12.s.3.orderindex=-1
unit.1.4.port.12.s.3.visible=1
unit.1.4.port.12.s.4.alias=
unit.1.4.port.12.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.4.name=DWORD_COUNT[4]
unit.1.4.port.12.s.4.orderindex=-1
unit.1.4.port.12.s.4.visible=1
unit.1.4.port.12.s.5.alias=
unit.1.4.port.12.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.5.name=DWORD_COUNT[5]
unit.1.4.port.12.s.5.orderindex=-1
unit.1.4.port.12.s.5.visible=1
unit.1.4.port.12.s.6.alias=
unit.1.4.port.12.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.6.name=DWORD_COUNT[6]
unit.1.4.port.12.s.6.orderindex=-1
unit.1.4.port.12.s.6.visible=1
unit.1.4.port.12.s.7.alias=
unit.1.4.port.12.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.7.name=DWORD_COUNT[7]
unit.1.4.port.12.s.7.orderindex=-1
unit.1.4.port.12.s.7.visible=1
unit.1.4.port.12.s.8.alias=
unit.1.4.port.12.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.8.name=DWORD_COUNT[8]
unit.1.4.port.12.s.8.orderindex=-1
unit.1.4.port.12.s.8.visible=1
unit.1.4.port.12.s.9.alias=
unit.1.4.port.12.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.12.s.9.name=DWORD_COUNT[9]
unit.1.4.port.12.s.9.orderindex=-1
unit.1.4.port.12.s.9.visible=1
unit.1.4.port.13.b.0.alias=
unit.1.4.port.13.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.4.port.13.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.b.0.name=WRITE_DATA_COUNT
unit.1.4.port.13.b.0.orderindex=-1
unit.1.4.port.13.b.0.radix=Hex
unit.1.4.port.13.b.0.signedOffset=0.0
unit.1.4.port.13.b.0.signedPrecision=0
unit.1.4.port.13.b.0.signedScaleFactor=1.0
unit.1.4.port.13.b.0.unsignedOffset=0.0
unit.1.4.port.13.b.0.unsignedPrecision=0
unit.1.4.port.13.b.0.unsignedScaleFactor=1.0
unit.1.4.port.13.b.0.visible=1
unit.1.4.port.13.buscount=1
unit.1.4.port.13.channelcount=32
unit.1.4.port.13.s.0.alias=
unit.1.4.port.13.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.0.name=WRITE_DATA_COUNT[0]
unit.1.4.port.13.s.0.orderindex=-1
unit.1.4.port.13.s.0.visible=1
unit.1.4.port.13.s.1.alias=
unit.1.4.port.13.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.1.name=WRITE_DATA_COUNT[1]
unit.1.4.port.13.s.1.orderindex=-1
unit.1.4.port.13.s.1.visible=1
unit.1.4.port.13.s.10.alias=
unit.1.4.port.13.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.10.name=WRITE_DATA_COUNT[10]
unit.1.4.port.13.s.10.orderindex=-1
unit.1.4.port.13.s.10.visible=1
unit.1.4.port.13.s.11.alias=
unit.1.4.port.13.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.11.name=WRITE_DATA_COUNT[11]
unit.1.4.port.13.s.11.orderindex=-1
unit.1.4.port.13.s.11.visible=1
unit.1.4.port.13.s.12.alias=
unit.1.4.port.13.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.12.name=WRITE_DATA_COUNT[12]
unit.1.4.port.13.s.12.orderindex=-1
unit.1.4.port.13.s.12.visible=1
unit.1.4.port.13.s.13.alias=
unit.1.4.port.13.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.13.name=WRITE_DATA_COUNT[13]
unit.1.4.port.13.s.13.orderindex=-1
unit.1.4.port.13.s.13.visible=1
unit.1.4.port.13.s.14.alias=
unit.1.4.port.13.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.14.name=WRITE_DATA_COUNT[14]
unit.1.4.port.13.s.14.orderindex=-1
unit.1.4.port.13.s.14.visible=1
unit.1.4.port.13.s.15.alias=
unit.1.4.port.13.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.15.name=WRITE_DATA_COUNT[15]
unit.1.4.port.13.s.15.orderindex=-1
unit.1.4.port.13.s.15.visible=1
unit.1.4.port.13.s.16.alias=
unit.1.4.port.13.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.16.name=WRITE_DATA_COUNT[16]
unit.1.4.port.13.s.16.orderindex=-1
unit.1.4.port.13.s.16.visible=1
unit.1.4.port.13.s.17.alias=
unit.1.4.port.13.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.17.name=WRITE_DATA_COUNT[17]
unit.1.4.port.13.s.17.orderindex=-1
unit.1.4.port.13.s.17.visible=1
unit.1.4.port.13.s.18.alias=
unit.1.4.port.13.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.18.name=WRITE_DATA_COUNT[18]
unit.1.4.port.13.s.18.orderindex=-1
unit.1.4.port.13.s.18.visible=1
unit.1.4.port.13.s.19.alias=
unit.1.4.port.13.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.19.name=WRITE_DATA_COUNT[19]
unit.1.4.port.13.s.19.orderindex=-1
unit.1.4.port.13.s.19.visible=1
unit.1.4.port.13.s.2.alias=
unit.1.4.port.13.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.2.name=WRITE_DATA_COUNT[2]
unit.1.4.port.13.s.2.orderindex=-1
unit.1.4.port.13.s.2.visible=1
unit.1.4.port.13.s.20.alias=
unit.1.4.port.13.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.20.name=WRITE_DATA_COUNT[20]
unit.1.4.port.13.s.20.orderindex=-1
unit.1.4.port.13.s.20.visible=1
unit.1.4.port.13.s.21.alias=
unit.1.4.port.13.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.21.name=WRITE_DATA_COUNT[21]
unit.1.4.port.13.s.21.orderindex=-1
unit.1.4.port.13.s.21.visible=1
unit.1.4.port.13.s.22.alias=
unit.1.4.port.13.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.22.name=WRITE_DATA_COUNT[22]
unit.1.4.port.13.s.22.orderindex=-1
unit.1.4.port.13.s.22.visible=1
unit.1.4.port.13.s.23.alias=
unit.1.4.port.13.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.23.name=WRITE_DATA_COUNT[23]
unit.1.4.port.13.s.23.orderindex=-1
unit.1.4.port.13.s.23.visible=1
unit.1.4.port.13.s.24.alias=
unit.1.4.port.13.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.24.name=WRITE_DATA_COUNT[24]
unit.1.4.port.13.s.24.orderindex=-1
unit.1.4.port.13.s.24.visible=1
unit.1.4.port.13.s.25.alias=
unit.1.4.port.13.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.25.name=WRITE_DATA_COUNT[25]
unit.1.4.port.13.s.25.orderindex=-1
unit.1.4.port.13.s.25.visible=1
unit.1.4.port.13.s.26.alias=
unit.1.4.port.13.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.26.name=WRITE_DATA_COUNT[26]
unit.1.4.port.13.s.26.orderindex=-1
unit.1.4.port.13.s.26.visible=1
unit.1.4.port.13.s.27.alias=
unit.1.4.port.13.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.27.name=WRITE_DATA_COUNT[27]
unit.1.4.port.13.s.27.orderindex=-1
unit.1.4.port.13.s.27.visible=1
unit.1.4.port.13.s.28.alias=
unit.1.4.port.13.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.28.name=WRITE_DATA_COUNT[28]
unit.1.4.port.13.s.28.orderindex=-1
unit.1.4.port.13.s.28.visible=1
unit.1.4.port.13.s.29.alias=
unit.1.4.port.13.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.29.name=WRITE_DATA_COUNT[29]
unit.1.4.port.13.s.29.orderindex=-1
unit.1.4.port.13.s.29.visible=1
unit.1.4.port.13.s.3.alias=
unit.1.4.port.13.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.3.name=WRITE_DATA_COUNT[3]
unit.1.4.port.13.s.3.orderindex=-1
unit.1.4.port.13.s.3.visible=1
unit.1.4.port.13.s.30.alias=
unit.1.4.port.13.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.30.name=WRITE_DATA_COUNT[30]
unit.1.4.port.13.s.30.orderindex=-1
unit.1.4.port.13.s.30.visible=1
unit.1.4.port.13.s.31.alias=
unit.1.4.port.13.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.31.name=WRITE_DATA_COUNT[31]
unit.1.4.port.13.s.31.orderindex=-1
unit.1.4.port.13.s.31.visible=1
unit.1.4.port.13.s.4.alias=
unit.1.4.port.13.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.4.name=WRITE_DATA_COUNT[4]
unit.1.4.port.13.s.4.orderindex=-1
unit.1.4.port.13.s.4.visible=1
unit.1.4.port.13.s.5.alias=
unit.1.4.port.13.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.5.name=WRITE_DATA_COUNT[5]
unit.1.4.port.13.s.5.orderindex=-1
unit.1.4.port.13.s.5.visible=1
unit.1.4.port.13.s.6.alias=
unit.1.4.port.13.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.6.name=WRITE_DATA_COUNT[6]
unit.1.4.port.13.s.6.orderindex=-1
unit.1.4.port.13.s.6.visible=1
unit.1.4.port.13.s.7.alias=
unit.1.4.port.13.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.7.name=WRITE_DATA_COUNT[7]
unit.1.4.port.13.s.7.orderindex=-1
unit.1.4.port.13.s.7.visible=1
unit.1.4.port.13.s.8.alias=
unit.1.4.port.13.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.8.name=WRITE_DATA_COUNT[8]
unit.1.4.port.13.s.8.orderindex=-1
unit.1.4.port.13.s.8.visible=1
unit.1.4.port.13.s.9.alias=
unit.1.4.port.13.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.13.s.9.name=WRITE_DATA_COUNT[9]
unit.1.4.port.13.s.9.orderindex=-1
unit.1.4.port.13.s.9.visible=1
unit.1.4.port.14.b.0.alias=
unit.1.4.port.14.b.0.channellist=0 1 2 3 4
unit.1.4.port.14.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.14.b.0.name=TAG
unit.1.4.port.14.b.0.orderindex=-1
unit.1.4.port.14.b.0.radix=Hex
unit.1.4.port.14.b.0.signedOffset=0.0
unit.1.4.port.14.b.0.signedPrecision=0
unit.1.4.port.14.b.0.signedScaleFactor=1.0
unit.1.4.port.14.b.0.unsignedOffset=0.0
unit.1.4.port.14.b.0.unsignedPrecision=0
unit.1.4.port.14.b.0.unsignedScaleFactor=1.0
unit.1.4.port.14.b.0.visible=1
unit.1.4.port.14.buscount=1
unit.1.4.port.14.channelcount=5
unit.1.4.port.14.s.0.alias=
unit.1.4.port.14.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.14.s.0.name=TAG[0]
unit.1.4.port.14.s.0.orderindex=-1
unit.1.4.port.14.s.0.visible=1
unit.1.4.port.14.s.1.alias=
unit.1.4.port.14.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.14.s.1.name=TAG[1]
unit.1.4.port.14.s.1.orderindex=-1
unit.1.4.port.14.s.1.visible=1
unit.1.4.port.14.s.2.alias=
unit.1.4.port.14.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.14.s.2.name=TAG[2]
unit.1.4.port.14.s.2.orderindex=-1
unit.1.4.port.14.s.2.visible=1
unit.1.4.port.14.s.3.alias=
unit.1.4.port.14.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.14.s.3.name=TAG[3]
unit.1.4.port.14.s.3.orderindex=-1
unit.1.4.port.14.s.3.visible=1
unit.1.4.port.14.s.4.alias=
unit.1.4.port.14.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.14.s.4.name=TAG[4]
unit.1.4.port.14.s.4.orderindex=-1
unit.1.4.port.14.s.4.visible=1
unit.1.4.port.15.b.0.alias=
unit.1.4.port.15.b.0.channellist=0 1 2 3 4 5 6 7
unit.1.4.port.15.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.15.b.0.name=COMMAND_COUNT
unit.1.4.port.15.b.0.orderindex=-1
unit.1.4.port.15.b.0.radix=Hex
unit.1.4.port.15.b.0.signedOffset=0.0
unit.1.4.port.15.b.0.signedPrecision=0
unit.1.4.port.15.b.0.signedScaleFactor=1.0
unit.1.4.port.15.b.0.unsignedOffset=0.0
unit.1.4.port.15.b.0.unsignedPrecision=0
unit.1.4.port.15.b.0.unsignedScaleFactor=1.0
unit.1.4.port.15.b.0.visible=1
unit.1.4.port.15.buscount=1
unit.1.4.port.15.channelcount=8
unit.1.4.port.15.s.0.alias=
unit.1.4.port.15.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.15.s.0.name=COMMAND_COUNT[0]
unit.1.4.port.15.s.0.orderindex=-1
unit.1.4.port.15.s.0.visible=1
unit.1.4.port.15.s.1.alias=
unit.1.4.port.15.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.15.s.1.name=COMMAND_COUNT[1]
unit.1.4.port.15.s.1.orderindex=-1
unit.1.4.port.15.s.1.visible=1
unit.1.4.port.15.s.2.alias=
unit.1.4.port.15.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.15.s.2.name=COMMAND_COUNT[2]
unit.1.4.port.15.s.2.orderindex=-1
unit.1.4.port.15.s.2.visible=1
unit.1.4.port.15.s.3.alias=
unit.1.4.port.15.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.15.s.3.name=COMMAND_COUNT[3]
unit.1.4.port.15.s.3.orderindex=-1
unit.1.4.port.15.s.3.visible=1
unit.1.4.port.15.s.4.alias=
unit.1.4.port.15.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.15.s.4.name=COMMAND_COUNT[4]
unit.1.4.port.15.s.4.orderindex=-1
unit.1.4.port.15.s.4.visible=1
unit.1.4.port.15.s.5.alias=
unit.1.4.port.15.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.15.s.5.name=COMMAND_COUNT[5]
unit.1.4.port.15.s.5.orderindex=-1
unit.1.4.port.15.s.5.visible=1
unit.1.4.port.15.s.6.alias=
unit.1.4.port.15.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.15.s.6.name=COMMAND_COUNT[6]
unit.1.4.port.15.s.6.orderindex=-1
unit.1.4.port.15.s.6.visible=1
unit.1.4.port.15.s.7.alias=
unit.1.4.port.15.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.15.s.7.name=COMMAND_COUNT[7]
unit.1.4.port.15.s.7.orderindex=-1
unit.1.4.port.15.s.7.visible=1
unit.1.4.port.2.b.0.alias=
unit.1.4.port.2.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.4.port.2.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.b.0.name=USER_DOUT_ILA
unit.1.4.port.2.b.0.orderindex=-1
unit.1.4.port.2.b.0.radix=Hex
unit.1.4.port.2.b.0.signedOffset=0.0
unit.1.4.port.2.b.0.signedPrecision=0
unit.1.4.port.2.b.0.signedScaleFactor=1.0
unit.1.4.port.2.b.0.unsignedOffset=0.0
unit.1.4.port.2.b.0.unsignedPrecision=0
unit.1.4.port.2.b.0.unsignedScaleFactor=1.0
unit.1.4.port.2.b.0.visible=1
unit.1.4.port.2.buscount=1
unit.1.4.port.2.channelcount=32
unit.1.4.port.2.s.0.alias=
unit.1.4.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.0.name=USER_DOUT_ILA[0]
unit.1.4.port.2.s.0.orderindex=-1
unit.1.4.port.2.s.0.visible=1
unit.1.4.port.2.s.1.alias=
unit.1.4.port.2.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.1.name=USER_DOUT_ILA[1]
unit.1.4.port.2.s.1.orderindex=-1
unit.1.4.port.2.s.1.visible=1
unit.1.4.port.2.s.10.alias=
unit.1.4.port.2.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.10.name=USER_DOUT_ILA[10]
unit.1.4.port.2.s.10.orderindex=-1
unit.1.4.port.2.s.10.visible=1
unit.1.4.port.2.s.11.alias=
unit.1.4.port.2.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.11.name=USER_DOUT_ILA[11]
unit.1.4.port.2.s.11.orderindex=-1
unit.1.4.port.2.s.11.visible=1
unit.1.4.port.2.s.12.alias=
unit.1.4.port.2.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.12.name=USER_DOUT_ILA[12]
unit.1.4.port.2.s.12.orderindex=-1
unit.1.4.port.2.s.12.visible=1
unit.1.4.port.2.s.13.alias=
unit.1.4.port.2.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.13.name=USER_DOUT_ILA[13]
unit.1.4.port.2.s.13.orderindex=-1
unit.1.4.port.2.s.13.visible=1
unit.1.4.port.2.s.14.alias=
unit.1.4.port.2.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.14.name=USER_DOUT_ILA[14]
unit.1.4.port.2.s.14.orderindex=-1
unit.1.4.port.2.s.14.visible=1
unit.1.4.port.2.s.15.alias=
unit.1.4.port.2.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.15.name=USER_DOUT_ILA[15]
unit.1.4.port.2.s.15.orderindex=-1
unit.1.4.port.2.s.15.visible=1
unit.1.4.port.2.s.16.alias=
unit.1.4.port.2.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.16.name=USER_DOUT_ILA[16]
unit.1.4.port.2.s.16.orderindex=-1
unit.1.4.port.2.s.16.visible=1
unit.1.4.port.2.s.17.alias=
unit.1.4.port.2.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.17.name=USER_DOUT_ILA[17]
unit.1.4.port.2.s.17.orderindex=-1
unit.1.4.port.2.s.17.visible=1
unit.1.4.port.2.s.18.alias=
unit.1.4.port.2.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.18.name=USER_DOUT_ILA[18]
unit.1.4.port.2.s.18.orderindex=-1
unit.1.4.port.2.s.18.visible=1
unit.1.4.port.2.s.19.alias=
unit.1.4.port.2.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.19.name=USER_DOUT_ILA[19]
unit.1.4.port.2.s.19.orderindex=-1
unit.1.4.port.2.s.19.visible=1
unit.1.4.port.2.s.2.alias=
unit.1.4.port.2.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.2.name=USER_DOUT_ILA[2]
unit.1.4.port.2.s.2.orderindex=-1
unit.1.4.port.2.s.2.visible=1
unit.1.4.port.2.s.20.alias=
unit.1.4.port.2.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.20.name=USER_DOUT_ILA[20]
unit.1.4.port.2.s.20.orderindex=-1
unit.1.4.port.2.s.20.visible=1
unit.1.4.port.2.s.21.alias=
unit.1.4.port.2.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.21.name=USER_DOUT_ILA[21]
unit.1.4.port.2.s.21.orderindex=-1
unit.1.4.port.2.s.21.visible=1
unit.1.4.port.2.s.22.alias=
unit.1.4.port.2.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.22.name=USER_DOUT_ILA[22]
unit.1.4.port.2.s.22.orderindex=-1
unit.1.4.port.2.s.22.visible=1
unit.1.4.port.2.s.23.alias=
unit.1.4.port.2.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.23.name=USER_DOUT_ILA[23]
unit.1.4.port.2.s.23.orderindex=-1
unit.1.4.port.2.s.23.visible=1
unit.1.4.port.2.s.24.alias=
unit.1.4.port.2.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.24.name=USER_DOUT_ILA[24]
unit.1.4.port.2.s.24.orderindex=-1
unit.1.4.port.2.s.24.visible=1
unit.1.4.port.2.s.25.alias=
unit.1.4.port.2.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.25.name=USER_DOUT_ILA[25]
unit.1.4.port.2.s.25.orderindex=-1
unit.1.4.port.2.s.25.visible=1
unit.1.4.port.2.s.26.alias=
unit.1.4.port.2.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.26.name=USER_DOUT_ILA[26]
unit.1.4.port.2.s.26.orderindex=-1
unit.1.4.port.2.s.26.visible=1
unit.1.4.port.2.s.27.alias=
unit.1.4.port.2.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.27.name=USER_DOUT_ILA[27]
unit.1.4.port.2.s.27.orderindex=-1
unit.1.4.port.2.s.27.visible=1
unit.1.4.port.2.s.28.alias=
unit.1.4.port.2.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.28.name=USER_DOUT_ILA[28]
unit.1.4.port.2.s.28.orderindex=-1
unit.1.4.port.2.s.28.visible=1
unit.1.4.port.2.s.29.alias=
unit.1.4.port.2.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.29.name=USER_DOUT_ILA[29]
unit.1.4.port.2.s.29.orderindex=-1
unit.1.4.port.2.s.29.visible=1
unit.1.4.port.2.s.3.alias=
unit.1.4.port.2.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.3.name=USER_DOUT_ILA[3]
unit.1.4.port.2.s.3.orderindex=-1
unit.1.4.port.2.s.3.visible=1
unit.1.4.port.2.s.30.alias=
unit.1.4.port.2.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.30.name=USER_DOUT_ILA[30]
unit.1.4.port.2.s.30.orderindex=-1
unit.1.4.port.2.s.30.visible=1
unit.1.4.port.2.s.31.alias=
unit.1.4.port.2.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.31.name=USER_DOUT_ILA[31]
unit.1.4.port.2.s.31.orderindex=-1
unit.1.4.port.2.s.31.visible=1
unit.1.4.port.2.s.4.alias=
unit.1.4.port.2.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.4.name=USER_DOUT_ILA[4]
unit.1.4.port.2.s.4.orderindex=-1
unit.1.4.port.2.s.4.visible=1
unit.1.4.port.2.s.5.alias=
unit.1.4.port.2.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.5.name=USER_DOUT_ILA[5]
unit.1.4.port.2.s.5.orderindex=-1
unit.1.4.port.2.s.5.visible=1
unit.1.4.port.2.s.6.alias=
unit.1.4.port.2.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.6.name=USER_DOUT_ILA[6]
unit.1.4.port.2.s.6.orderindex=-1
unit.1.4.port.2.s.6.visible=1
unit.1.4.port.2.s.7.alias=
unit.1.4.port.2.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.7.name=USER_DOUT_ILA[7]
unit.1.4.port.2.s.7.orderindex=-1
unit.1.4.port.2.s.7.visible=1
unit.1.4.port.2.s.8.alias=
unit.1.4.port.2.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.8.name=USER_DOUT_ILA[8]
unit.1.4.port.2.s.8.orderindex=-1
unit.1.4.port.2.s.8.visible=1
unit.1.4.port.2.s.9.alias=
unit.1.4.port.2.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.2.s.9.name=USER_DOUT_ILA[9]
unit.1.4.port.2.s.9.orderindex=-1
unit.1.4.port.2.s.9.visible=1
unit.1.4.port.3.b.0.alias=
unit.1.4.port.3.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.4.port.3.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.b.0.name=LL_DIN
unit.1.4.port.3.b.0.orderindex=-1
unit.1.4.port.3.b.0.radix=Hex
unit.1.4.port.3.b.0.signedOffset=0.0
unit.1.4.port.3.b.0.signedPrecision=0
unit.1.4.port.3.b.0.signedScaleFactor=1.0
unit.1.4.port.3.b.0.unsignedOffset=0.0
unit.1.4.port.3.b.0.unsignedPrecision=0
unit.1.4.port.3.b.0.unsignedScaleFactor=1.0
unit.1.4.port.3.b.0.visible=1
unit.1.4.port.3.buscount=1
unit.1.4.port.3.channelcount=32
unit.1.4.port.3.s.0.alias=
unit.1.4.port.3.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.0.name=LL_DIN[0]
unit.1.4.port.3.s.0.orderindex=-1
unit.1.4.port.3.s.0.visible=1
unit.1.4.port.3.s.1.alias=
unit.1.4.port.3.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.1.name=LL_DIN[1]
unit.1.4.port.3.s.1.orderindex=-1
unit.1.4.port.3.s.1.visible=1
unit.1.4.port.3.s.10.alias=
unit.1.4.port.3.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.10.name=LL_DIN[10]
unit.1.4.port.3.s.10.orderindex=-1
unit.1.4.port.3.s.10.visible=1
unit.1.4.port.3.s.11.alias=
unit.1.4.port.3.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.11.name=LL_DIN[11]
unit.1.4.port.3.s.11.orderindex=-1
unit.1.4.port.3.s.11.visible=1
unit.1.4.port.3.s.12.alias=
unit.1.4.port.3.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.12.name=LL_DIN[12]
unit.1.4.port.3.s.12.orderindex=-1
unit.1.4.port.3.s.12.visible=1
unit.1.4.port.3.s.13.alias=
unit.1.4.port.3.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.13.name=LL_DIN[13]
unit.1.4.port.3.s.13.orderindex=-1
unit.1.4.port.3.s.13.visible=1
unit.1.4.port.3.s.14.alias=
unit.1.4.port.3.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.14.name=LL_DIN[14]
unit.1.4.port.3.s.14.orderindex=-1
unit.1.4.port.3.s.14.visible=1
unit.1.4.port.3.s.15.alias=
unit.1.4.port.3.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.15.name=LL_DIN[15]
unit.1.4.port.3.s.15.orderindex=-1
unit.1.4.port.3.s.15.visible=1
unit.1.4.port.3.s.16.alias=
unit.1.4.port.3.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.16.name=LL_DIN[16]
unit.1.4.port.3.s.16.orderindex=-1
unit.1.4.port.3.s.16.visible=1
unit.1.4.port.3.s.17.alias=
unit.1.4.port.3.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.17.name=LL_DIN[17]
unit.1.4.port.3.s.17.orderindex=-1
unit.1.4.port.3.s.17.visible=1
unit.1.4.port.3.s.18.alias=
unit.1.4.port.3.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.18.name=LL_DIN[18]
unit.1.4.port.3.s.18.orderindex=-1
unit.1.4.port.3.s.18.visible=1
unit.1.4.port.3.s.19.alias=
unit.1.4.port.3.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.19.name=LL_DIN[19]
unit.1.4.port.3.s.19.orderindex=-1
unit.1.4.port.3.s.19.visible=1
unit.1.4.port.3.s.2.alias=
unit.1.4.port.3.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.2.name=LL_DIN[2]
unit.1.4.port.3.s.2.orderindex=-1
unit.1.4.port.3.s.2.visible=1
unit.1.4.port.3.s.20.alias=
unit.1.4.port.3.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.20.name=LL_DIN[20]
unit.1.4.port.3.s.20.orderindex=-1
unit.1.4.port.3.s.20.visible=1
unit.1.4.port.3.s.21.alias=
unit.1.4.port.3.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.21.name=LL_DIN[21]
unit.1.4.port.3.s.21.orderindex=-1
unit.1.4.port.3.s.21.visible=1
unit.1.4.port.3.s.22.alias=
unit.1.4.port.3.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.22.name=LL_DIN[22]
unit.1.4.port.3.s.22.orderindex=-1
unit.1.4.port.3.s.22.visible=1
unit.1.4.port.3.s.23.alias=
unit.1.4.port.3.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.23.name=LL_DIN[23]
unit.1.4.port.3.s.23.orderindex=-1
unit.1.4.port.3.s.23.visible=1
unit.1.4.port.3.s.24.alias=
unit.1.4.port.3.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.24.name=LL_DIN[24]
unit.1.4.port.3.s.24.orderindex=-1
unit.1.4.port.3.s.24.visible=1
unit.1.4.port.3.s.25.alias=
unit.1.4.port.3.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.25.name=LL_DIN[25]
unit.1.4.port.3.s.25.orderindex=-1
unit.1.4.port.3.s.25.visible=1
unit.1.4.port.3.s.26.alias=
unit.1.4.port.3.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.26.name=LL_DIN[26]
unit.1.4.port.3.s.26.orderindex=-1
unit.1.4.port.3.s.26.visible=1
unit.1.4.port.3.s.27.alias=
unit.1.4.port.3.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.27.name=LL_DIN[27]
unit.1.4.port.3.s.27.orderindex=-1
unit.1.4.port.3.s.27.visible=1
unit.1.4.port.3.s.28.alias=
unit.1.4.port.3.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.28.name=LL_DIN[28]
unit.1.4.port.3.s.28.orderindex=-1
unit.1.4.port.3.s.28.visible=1
unit.1.4.port.3.s.29.alias=
unit.1.4.port.3.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.29.name=LL_DIN[29]
unit.1.4.port.3.s.29.orderindex=-1
unit.1.4.port.3.s.29.visible=1
unit.1.4.port.3.s.3.alias=
unit.1.4.port.3.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.3.name=LL_DIN[3]
unit.1.4.port.3.s.3.orderindex=-1
unit.1.4.port.3.s.3.visible=1
unit.1.4.port.3.s.30.alias=
unit.1.4.port.3.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.30.name=LL_DIN[30]
unit.1.4.port.3.s.30.orderindex=-1
unit.1.4.port.3.s.30.visible=1
unit.1.4.port.3.s.31.alias=
unit.1.4.port.3.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.31.name=LL_DIN[31]
unit.1.4.port.3.s.31.orderindex=-1
unit.1.4.port.3.s.31.visible=1
unit.1.4.port.3.s.4.alias=
unit.1.4.port.3.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.4.name=LL_DIN[4]
unit.1.4.port.3.s.4.orderindex=-1
unit.1.4.port.3.s.4.visible=1
unit.1.4.port.3.s.5.alias=
unit.1.4.port.3.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.5.name=LL_DIN[5]
unit.1.4.port.3.s.5.orderindex=-1
unit.1.4.port.3.s.5.visible=1
unit.1.4.port.3.s.6.alias=
unit.1.4.port.3.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.6.name=LL_DIN[6]
unit.1.4.port.3.s.6.orderindex=-1
unit.1.4.port.3.s.6.visible=1
unit.1.4.port.3.s.7.alias=
unit.1.4.port.3.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.7.name=LL_DIN[7]
unit.1.4.port.3.s.7.orderindex=-1
unit.1.4.port.3.s.7.visible=1
unit.1.4.port.3.s.8.alias=
unit.1.4.port.3.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.8.name=LL_DIN[8]
unit.1.4.port.3.s.8.orderindex=-1
unit.1.4.port.3.s.8.visible=1
unit.1.4.port.3.s.9.alias=
unit.1.4.port.3.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.3.s.9.name=LL_DIN[9]
unit.1.4.port.3.s.9.orderindex=-1
unit.1.4.port.3.s.9.visible=1
unit.1.4.port.4.b.0.alias=
unit.1.4.port.4.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.4.port.4.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.b.0.name=LL_DOUT_OUT
unit.1.4.port.4.b.0.orderindex=-1
unit.1.4.port.4.b.0.radix=Hex
unit.1.4.port.4.b.0.signedOffset=0.0
unit.1.4.port.4.b.0.signedPrecision=0
unit.1.4.port.4.b.0.signedScaleFactor=1.0
unit.1.4.port.4.b.0.unsignedOffset=0.0
unit.1.4.port.4.b.0.unsignedPrecision=0
unit.1.4.port.4.b.0.unsignedScaleFactor=1.0
unit.1.4.port.4.b.0.visible=1
unit.1.4.port.4.buscount=1
unit.1.4.port.4.channelcount=32
unit.1.4.port.4.s.0.alias=
unit.1.4.port.4.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.0.name=LL_DOUT_OUT[0]
unit.1.4.port.4.s.0.orderindex=-1
unit.1.4.port.4.s.0.visible=1
unit.1.4.port.4.s.1.alias=
unit.1.4.port.4.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.1.name=LL_DOUT_OUT[1]
unit.1.4.port.4.s.1.orderindex=-1
unit.1.4.port.4.s.1.visible=1
unit.1.4.port.4.s.10.alias=
unit.1.4.port.4.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.10.name=LL_DOUT_OUT[10]
unit.1.4.port.4.s.10.orderindex=-1
unit.1.4.port.4.s.10.visible=1
unit.1.4.port.4.s.11.alias=
unit.1.4.port.4.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.11.name=LL_DOUT_OUT[11]
unit.1.4.port.4.s.11.orderindex=-1
unit.1.4.port.4.s.11.visible=1
unit.1.4.port.4.s.12.alias=
unit.1.4.port.4.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.12.name=LL_DOUT_OUT[12]
unit.1.4.port.4.s.12.orderindex=-1
unit.1.4.port.4.s.12.visible=1
unit.1.4.port.4.s.13.alias=
unit.1.4.port.4.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.13.name=LL_DOUT_OUT[13]
unit.1.4.port.4.s.13.orderindex=-1
unit.1.4.port.4.s.13.visible=1
unit.1.4.port.4.s.14.alias=
unit.1.4.port.4.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.14.name=LL_DOUT_OUT[14]
unit.1.4.port.4.s.14.orderindex=-1
unit.1.4.port.4.s.14.visible=1
unit.1.4.port.4.s.15.alias=
unit.1.4.port.4.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.15.name=LL_DOUT_OUT[15]
unit.1.4.port.4.s.15.orderindex=-1
unit.1.4.port.4.s.15.visible=1
unit.1.4.port.4.s.16.alias=
unit.1.4.port.4.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.16.name=LL_DOUT_OUT[16]
unit.1.4.port.4.s.16.orderindex=-1
unit.1.4.port.4.s.16.visible=1
unit.1.4.port.4.s.17.alias=
unit.1.4.port.4.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.17.name=LL_DOUT_OUT[17]
unit.1.4.port.4.s.17.orderindex=-1
unit.1.4.port.4.s.17.visible=1
unit.1.4.port.4.s.18.alias=
unit.1.4.port.4.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.18.name=LL_DOUT_OUT[18]
unit.1.4.port.4.s.18.orderindex=-1
unit.1.4.port.4.s.18.visible=1
unit.1.4.port.4.s.19.alias=
unit.1.4.port.4.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.19.name=LL_DOUT_OUT[19]
unit.1.4.port.4.s.19.orderindex=-1
unit.1.4.port.4.s.19.visible=1
unit.1.4.port.4.s.2.alias=
unit.1.4.port.4.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.2.name=LL_DOUT_OUT[2]
unit.1.4.port.4.s.2.orderindex=-1
unit.1.4.port.4.s.2.visible=1
unit.1.4.port.4.s.20.alias=
unit.1.4.port.4.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.20.name=LL_DOUT_OUT[20]
unit.1.4.port.4.s.20.orderindex=-1
unit.1.4.port.4.s.20.visible=1
unit.1.4.port.4.s.21.alias=
unit.1.4.port.4.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.21.name=LL_DOUT_OUT[21]
unit.1.4.port.4.s.21.orderindex=-1
unit.1.4.port.4.s.21.visible=1
unit.1.4.port.4.s.22.alias=
unit.1.4.port.4.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.22.name=LL_DOUT_OUT[22]
unit.1.4.port.4.s.22.orderindex=-1
unit.1.4.port.4.s.22.visible=1
unit.1.4.port.4.s.23.alias=
unit.1.4.port.4.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.23.name=LL_DOUT_OUT[23]
unit.1.4.port.4.s.23.orderindex=-1
unit.1.4.port.4.s.23.visible=1
unit.1.4.port.4.s.24.alias=
unit.1.4.port.4.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.24.name=LL_DOUT_OUT[24]
unit.1.4.port.4.s.24.orderindex=-1
unit.1.4.port.4.s.24.visible=1
unit.1.4.port.4.s.25.alias=
unit.1.4.port.4.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.25.name=LL_DOUT_OUT[25]
unit.1.4.port.4.s.25.orderindex=-1
unit.1.4.port.4.s.25.visible=1
unit.1.4.port.4.s.26.alias=
unit.1.4.port.4.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.26.name=LL_DOUT_OUT[26]
unit.1.4.port.4.s.26.orderindex=-1
unit.1.4.port.4.s.26.visible=1
unit.1.4.port.4.s.27.alias=
unit.1.4.port.4.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.27.name=LL_DOUT_OUT[27]
unit.1.4.port.4.s.27.orderindex=-1
unit.1.4.port.4.s.27.visible=1
unit.1.4.port.4.s.28.alias=
unit.1.4.port.4.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.28.name=LL_DOUT_OUT[28]
unit.1.4.port.4.s.28.orderindex=-1
unit.1.4.port.4.s.28.visible=1
unit.1.4.port.4.s.29.alias=
unit.1.4.port.4.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.29.name=LL_DOUT_OUT[29]
unit.1.4.port.4.s.29.orderindex=-1
unit.1.4.port.4.s.29.visible=1
unit.1.4.port.4.s.3.alias=
unit.1.4.port.4.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.3.name=LL_DOUT_OUT[3]
unit.1.4.port.4.s.3.orderindex=-1
unit.1.4.port.4.s.3.visible=1
unit.1.4.port.4.s.30.alias=
unit.1.4.port.4.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.30.name=LL_DOUT_OUT[30]
unit.1.4.port.4.s.30.orderindex=-1
unit.1.4.port.4.s.30.visible=1
unit.1.4.port.4.s.31.alias=
unit.1.4.port.4.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.31.name=LL_DOUT_OUT[31]
unit.1.4.port.4.s.31.orderindex=-1
unit.1.4.port.4.s.31.visible=1
unit.1.4.port.4.s.4.alias=
unit.1.4.port.4.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.4.name=LL_DOUT_OUT[4]
unit.1.4.port.4.s.4.orderindex=-1
unit.1.4.port.4.s.4.visible=1
unit.1.4.port.4.s.5.alias=
unit.1.4.port.4.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.5.name=LL_DOUT_OUT[5]
unit.1.4.port.4.s.5.orderindex=-1
unit.1.4.port.4.s.5.visible=1
unit.1.4.port.4.s.6.alias=
unit.1.4.port.4.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.6.name=LL_DOUT_OUT[6]
unit.1.4.port.4.s.6.orderindex=-1
unit.1.4.port.4.s.6.visible=1
unit.1.4.port.4.s.7.alias=
unit.1.4.port.4.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.7.name=LL_DOUT_OUT[7]
unit.1.4.port.4.s.7.orderindex=-1
unit.1.4.port.4.s.7.visible=1
unit.1.4.port.4.s.8.alias=
unit.1.4.port.4.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.8.name=LL_DOUT_OUT[8]
unit.1.4.port.4.s.8.orderindex=-1
unit.1.4.port.4.s.8.visible=1
unit.1.4.port.4.s.9.alias=
unit.1.4.port.4.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.4.s.9.name=LL_DOUT_OUT[9]
unit.1.4.port.4.s.9.orderindex=-1
unit.1.4.port.4.s.9.visible=1
unit.1.4.port.5.b.0.alias=
unit.1.4.port.5.b.0.channellist=0 1
unit.1.4.port.5.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.5.b.0.name=CMD_TYPE
unit.1.4.port.5.b.0.orderindex=-1
unit.1.4.port.5.b.0.radix=Hex
unit.1.4.port.5.b.0.signedOffset=0.0
unit.1.4.port.5.b.0.signedPrecision=0
unit.1.4.port.5.b.0.signedScaleFactor=1.0
unit.1.4.port.5.b.0.unsignedOffset=0.0
unit.1.4.port.5.b.0.unsignedPrecision=0
unit.1.4.port.5.b.0.unsignedScaleFactor=1.0
unit.1.4.port.5.b.0.visible=1
unit.1.4.port.5.buscount=1
unit.1.4.port.5.channelcount=2
unit.1.4.port.5.s.0.alias=
unit.1.4.port.5.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.5.s.0.name=CMD_TYPE[0]
unit.1.4.port.5.s.0.orderindex=-1
unit.1.4.port.5.s.0.visible=1
unit.1.4.port.5.s.1.alias=
unit.1.4.port.5.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.5.s.1.name=CMD_TYPE[1]
unit.1.4.port.5.s.1.orderindex=-1
unit.1.4.port.5.s.1.visible=1
unit.1.4.port.5.s.2.alias=DataPort[134]
unit.1.4.port.5.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.5.s.2.name=CMD_TYPE[2]
unit.1.4.port.5.s.2.orderindex=-1
unit.1.4.port.5.s.2.visible=1
unit.1.4.port.6.b.0.alias=
unit.1.4.port.6.b.0.channellist=0 1
unit.1.4.port.6.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.6.b.0.name=LL_CMD_TYPE_OUT
unit.1.4.port.6.b.0.orderindex=-1
unit.1.4.port.6.b.0.radix=Hex
unit.1.4.port.6.b.0.signedOffset=0.0
unit.1.4.port.6.b.0.signedPrecision=0
unit.1.4.port.6.b.0.signedScaleFactor=1.0
unit.1.4.port.6.b.0.unsignedOffset=0.0
unit.1.4.port.6.b.0.unsignedPrecision=0
unit.1.4.port.6.b.0.unsignedScaleFactor=1.0
unit.1.4.port.6.b.0.visible=1
unit.1.4.port.6.buscount=1
unit.1.4.port.6.channelcount=2
unit.1.4.port.6.s.0.alias=
unit.1.4.port.6.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.6.s.0.name=LL_CMD_TYPE_OUT[0]
unit.1.4.port.6.s.0.orderindex=-1
unit.1.4.port.6.s.0.visible=1
unit.1.4.port.6.s.1.alias=
unit.1.4.port.6.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.6.s.1.name=LL_CMD_TYPE_OUT[1]
unit.1.4.port.6.s.1.orderindex=-1
unit.1.4.port.6.s.1.visible=1
unit.1.4.port.6.s.2.alias=DataPort[137]
unit.1.4.port.6.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.6.s.2.name=LL_CMD_TYPE_OUT[2]
unit.1.4.port.6.s.2.orderindex=-1
unit.1.4.port.6.s.2.visible=1
unit.1.4.port.7.b.0.alias=
unit.1.4.port.7.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.4.port.7.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.b.0.name=SECTOR_TIMER
unit.1.4.port.7.b.0.orderindex=-1
unit.1.4.port.7.b.0.radix=Hex
unit.1.4.port.7.b.0.signedOffset=0.0
unit.1.4.port.7.b.0.signedPrecision=0
unit.1.4.port.7.b.0.signedScaleFactor=1.0
unit.1.4.port.7.b.0.unsignedOffset=0.0
unit.1.4.port.7.b.0.unsignedPrecision=0
unit.1.4.port.7.b.0.unsignedScaleFactor=1.0
unit.1.4.port.7.b.0.visible=1
unit.1.4.port.7.buscount=1
unit.1.4.port.7.channelcount=32
unit.1.4.port.7.s.0.alias=
unit.1.4.port.7.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.0.name=SECTOR_TIMER[0]
unit.1.4.port.7.s.0.orderindex=-1
unit.1.4.port.7.s.0.visible=1
unit.1.4.port.7.s.1.alias=
unit.1.4.port.7.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.1.name=SECTOR_TIMER[1]
unit.1.4.port.7.s.1.orderindex=-1
unit.1.4.port.7.s.1.visible=1
unit.1.4.port.7.s.10.alias=
unit.1.4.port.7.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.10.name=SECTOR_TIMER[10]
unit.1.4.port.7.s.10.orderindex=-1
unit.1.4.port.7.s.10.visible=1
unit.1.4.port.7.s.11.alias=
unit.1.4.port.7.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.11.name=SECTOR_TIMER[11]
unit.1.4.port.7.s.11.orderindex=-1
unit.1.4.port.7.s.11.visible=1
unit.1.4.port.7.s.12.alias=
unit.1.4.port.7.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.12.name=SECTOR_TIMER[12]
unit.1.4.port.7.s.12.orderindex=-1
unit.1.4.port.7.s.12.visible=1
unit.1.4.port.7.s.13.alias=
unit.1.4.port.7.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.13.name=SECTOR_TIMER[13]
unit.1.4.port.7.s.13.orderindex=-1
unit.1.4.port.7.s.13.visible=1
unit.1.4.port.7.s.14.alias=
unit.1.4.port.7.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.14.name=SECTOR_TIMER[14]
unit.1.4.port.7.s.14.orderindex=-1
unit.1.4.port.7.s.14.visible=1
unit.1.4.port.7.s.15.alias=
unit.1.4.port.7.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.15.name=SECTOR_TIMER[15]
unit.1.4.port.7.s.15.orderindex=-1
unit.1.4.port.7.s.15.visible=1
unit.1.4.port.7.s.16.alias=
unit.1.4.port.7.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.16.name=SECTOR_TIMER[16]
unit.1.4.port.7.s.16.orderindex=-1
unit.1.4.port.7.s.16.visible=1
unit.1.4.port.7.s.17.alias=
unit.1.4.port.7.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.17.name=SECTOR_TIMER[17]
unit.1.4.port.7.s.17.orderindex=-1
unit.1.4.port.7.s.17.visible=1
unit.1.4.port.7.s.18.alias=
unit.1.4.port.7.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.18.name=SECTOR_TIMER[18]
unit.1.4.port.7.s.18.orderindex=-1
unit.1.4.port.7.s.18.visible=1
unit.1.4.port.7.s.19.alias=
unit.1.4.port.7.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.19.name=SECTOR_TIMER[19]
unit.1.4.port.7.s.19.orderindex=-1
unit.1.4.port.7.s.19.visible=1
unit.1.4.port.7.s.2.alias=
unit.1.4.port.7.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.2.name=SECTOR_TIMER[2]
unit.1.4.port.7.s.2.orderindex=-1
unit.1.4.port.7.s.2.visible=1
unit.1.4.port.7.s.20.alias=
unit.1.4.port.7.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.20.name=SECTOR_TIMER[20]
unit.1.4.port.7.s.20.orderindex=-1
unit.1.4.port.7.s.20.visible=1
unit.1.4.port.7.s.21.alias=
unit.1.4.port.7.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.21.name=SECTOR_TIMER[21]
unit.1.4.port.7.s.21.orderindex=-1
unit.1.4.port.7.s.21.visible=1
unit.1.4.port.7.s.22.alias=
unit.1.4.port.7.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.22.name=SECTOR_TIMER[22]
unit.1.4.port.7.s.22.orderindex=-1
unit.1.4.port.7.s.22.visible=1
unit.1.4.port.7.s.23.alias=
unit.1.4.port.7.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.23.name=SECTOR_TIMER[23]
unit.1.4.port.7.s.23.orderindex=-1
unit.1.4.port.7.s.23.visible=1
unit.1.4.port.7.s.24.alias=
unit.1.4.port.7.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.24.name=SECTOR_TIMER[24]
unit.1.4.port.7.s.24.orderindex=-1
unit.1.4.port.7.s.24.visible=1
unit.1.4.port.7.s.25.alias=
unit.1.4.port.7.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.25.name=SECTOR_TIMER[25]
unit.1.4.port.7.s.25.orderindex=-1
unit.1.4.port.7.s.25.visible=1
unit.1.4.port.7.s.26.alias=
unit.1.4.port.7.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.26.name=SECTOR_TIMER[26]
unit.1.4.port.7.s.26.orderindex=-1
unit.1.4.port.7.s.26.visible=1
unit.1.4.port.7.s.27.alias=
unit.1.4.port.7.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.27.name=SECTOR_TIMER[27]
unit.1.4.port.7.s.27.orderindex=-1
unit.1.4.port.7.s.27.visible=1
unit.1.4.port.7.s.28.alias=
unit.1.4.port.7.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.28.name=SECTOR_TIMER[28]
unit.1.4.port.7.s.28.orderindex=-1
unit.1.4.port.7.s.28.visible=1
unit.1.4.port.7.s.29.alias=
unit.1.4.port.7.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.29.name=SECTOR_TIMER[29]
unit.1.4.port.7.s.29.orderindex=-1
unit.1.4.port.7.s.29.visible=1
unit.1.4.port.7.s.3.alias=
unit.1.4.port.7.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.3.name=SECTOR_TIMER[3]
unit.1.4.port.7.s.3.orderindex=-1
unit.1.4.port.7.s.3.visible=1
unit.1.4.port.7.s.30.alias=
unit.1.4.port.7.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.30.name=SECTOR_TIMER[30]
unit.1.4.port.7.s.30.orderindex=-1
unit.1.4.port.7.s.30.visible=1
unit.1.4.port.7.s.31.alias=
unit.1.4.port.7.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.31.name=SECTOR_TIMER[31]
unit.1.4.port.7.s.31.orderindex=-1
unit.1.4.port.7.s.31.visible=1
unit.1.4.port.7.s.4.alias=
unit.1.4.port.7.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.4.name=SECTOR_TIMER[4]
unit.1.4.port.7.s.4.orderindex=-1
unit.1.4.port.7.s.4.visible=1
unit.1.4.port.7.s.5.alias=
unit.1.4.port.7.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.5.name=SECTOR_TIMER[5]
unit.1.4.port.7.s.5.orderindex=-1
unit.1.4.port.7.s.5.visible=1
unit.1.4.port.7.s.6.alias=
unit.1.4.port.7.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.6.name=SECTOR_TIMER[6]
unit.1.4.port.7.s.6.orderindex=-1
unit.1.4.port.7.s.6.visible=1
unit.1.4.port.7.s.7.alias=
unit.1.4.port.7.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.7.name=SECTOR_TIMER[7]
unit.1.4.port.7.s.7.orderindex=-1
unit.1.4.port.7.s.7.visible=1
unit.1.4.port.7.s.8.alias=
unit.1.4.port.7.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.8.name=SECTOR_TIMER[8]
unit.1.4.port.7.s.8.orderindex=-1
unit.1.4.port.7.s.8.visible=1
unit.1.4.port.7.s.9.alias=
unit.1.4.port.7.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.7.s.9.name=SECTOR_TIMER[9]
unit.1.4.port.7.s.9.orderindex=-1
unit.1.4.port.7.s.9.visible=1
unit.1.4.port.8.b.0.alias=
unit.1.4.port.8.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.4.port.8.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.b.0.name=SECTOR_ADDR
unit.1.4.port.8.b.0.orderindex=-1
unit.1.4.port.8.b.0.radix=Hex
unit.1.4.port.8.b.0.signedOffset=0.0
unit.1.4.port.8.b.0.signedPrecision=0
unit.1.4.port.8.b.0.signedScaleFactor=1.0
unit.1.4.port.8.b.0.unsignedOffset=0.0
unit.1.4.port.8.b.0.unsignedPrecision=0
unit.1.4.port.8.b.0.unsignedScaleFactor=1.0
unit.1.4.port.8.b.0.visible=1
unit.1.4.port.8.buscount=1
unit.1.4.port.8.channelcount=32
unit.1.4.port.8.s.0.alias=
unit.1.4.port.8.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.0.name=SECTOR_ADDR[0]
unit.1.4.port.8.s.0.orderindex=-1
unit.1.4.port.8.s.0.visible=1
unit.1.4.port.8.s.1.alias=
unit.1.4.port.8.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.1.name=SECTOR_ADDR[1]
unit.1.4.port.8.s.1.orderindex=-1
unit.1.4.port.8.s.1.visible=1
unit.1.4.port.8.s.10.alias=
unit.1.4.port.8.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.10.name=SECTOR_ADDR[10]
unit.1.4.port.8.s.10.orderindex=-1
unit.1.4.port.8.s.10.visible=1
unit.1.4.port.8.s.11.alias=
unit.1.4.port.8.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.11.name=SECTOR_ADDR[11]
unit.1.4.port.8.s.11.orderindex=-1
unit.1.4.port.8.s.11.visible=1
unit.1.4.port.8.s.12.alias=
unit.1.4.port.8.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.12.name=SECTOR_ADDR[12]
unit.1.4.port.8.s.12.orderindex=-1
unit.1.4.port.8.s.12.visible=1
unit.1.4.port.8.s.13.alias=
unit.1.4.port.8.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.13.name=SECTOR_ADDR[13]
unit.1.4.port.8.s.13.orderindex=-1
unit.1.4.port.8.s.13.visible=1
unit.1.4.port.8.s.14.alias=
unit.1.4.port.8.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.14.name=SECTOR_ADDR[14]
unit.1.4.port.8.s.14.orderindex=-1
unit.1.4.port.8.s.14.visible=1
unit.1.4.port.8.s.15.alias=
unit.1.4.port.8.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.15.name=SECTOR_ADDR[15]
unit.1.4.port.8.s.15.orderindex=-1
unit.1.4.port.8.s.15.visible=1
unit.1.4.port.8.s.16.alias=
unit.1.4.port.8.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.16.name=SECTOR_ADDR[16]
unit.1.4.port.8.s.16.orderindex=-1
unit.1.4.port.8.s.16.visible=1
unit.1.4.port.8.s.17.alias=
unit.1.4.port.8.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.17.name=SECTOR_ADDR[17]
unit.1.4.port.8.s.17.orderindex=-1
unit.1.4.port.8.s.17.visible=1
unit.1.4.port.8.s.18.alias=
unit.1.4.port.8.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.18.name=SECTOR_ADDR[18]
unit.1.4.port.8.s.18.orderindex=-1
unit.1.4.port.8.s.18.visible=1
unit.1.4.port.8.s.19.alias=
unit.1.4.port.8.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.19.name=SECTOR_ADDR[19]
unit.1.4.port.8.s.19.orderindex=-1
unit.1.4.port.8.s.19.visible=1
unit.1.4.port.8.s.2.alias=
unit.1.4.port.8.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.2.name=SECTOR_ADDR[2]
unit.1.4.port.8.s.2.orderindex=-1
unit.1.4.port.8.s.2.visible=1
unit.1.4.port.8.s.20.alias=
unit.1.4.port.8.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.20.name=SECTOR_ADDR[20]
unit.1.4.port.8.s.20.orderindex=-1
unit.1.4.port.8.s.20.visible=1
unit.1.4.port.8.s.21.alias=
unit.1.4.port.8.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.21.name=SECTOR_ADDR[21]
unit.1.4.port.8.s.21.orderindex=-1
unit.1.4.port.8.s.21.visible=1
unit.1.4.port.8.s.22.alias=
unit.1.4.port.8.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.22.name=SECTOR_ADDR[22]
unit.1.4.port.8.s.22.orderindex=-1
unit.1.4.port.8.s.22.visible=1
unit.1.4.port.8.s.23.alias=
unit.1.4.port.8.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.23.name=SECTOR_ADDR[23]
unit.1.4.port.8.s.23.orderindex=-1
unit.1.4.port.8.s.23.visible=1
unit.1.4.port.8.s.24.alias=
unit.1.4.port.8.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.24.name=SECTOR_ADDR[24]
unit.1.4.port.8.s.24.orderindex=-1
unit.1.4.port.8.s.24.visible=1
unit.1.4.port.8.s.25.alias=
unit.1.4.port.8.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.25.name=SECTOR_ADDR[25]
unit.1.4.port.8.s.25.orderindex=-1
unit.1.4.port.8.s.25.visible=1
unit.1.4.port.8.s.26.alias=
unit.1.4.port.8.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.26.name=SECTOR_ADDR[26]
unit.1.4.port.8.s.26.orderindex=-1
unit.1.4.port.8.s.26.visible=1
unit.1.4.port.8.s.27.alias=
unit.1.4.port.8.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.27.name=SECTOR_ADDR[27]
unit.1.4.port.8.s.27.orderindex=-1
unit.1.4.port.8.s.27.visible=1
unit.1.4.port.8.s.28.alias=
unit.1.4.port.8.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.28.name=SECTOR_ADDR[28]
unit.1.4.port.8.s.28.orderindex=-1
unit.1.4.port.8.s.28.visible=1
unit.1.4.port.8.s.29.alias=
unit.1.4.port.8.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.29.name=SECTOR_ADDR[29]
unit.1.4.port.8.s.29.orderindex=-1
unit.1.4.port.8.s.29.visible=1
unit.1.4.port.8.s.3.alias=
unit.1.4.port.8.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.3.name=SECTOR_ADDR[3]
unit.1.4.port.8.s.3.orderindex=-1
unit.1.4.port.8.s.3.visible=1
unit.1.4.port.8.s.30.alias=
unit.1.4.port.8.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.30.name=SECTOR_ADDR[30]
unit.1.4.port.8.s.30.orderindex=-1
unit.1.4.port.8.s.30.visible=1
unit.1.4.port.8.s.31.alias=
unit.1.4.port.8.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.31.name=SECTOR_ADDR[31]
unit.1.4.port.8.s.31.orderindex=-1
unit.1.4.port.8.s.31.visible=1
unit.1.4.port.8.s.4.alias=
unit.1.4.port.8.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.4.name=SECTOR_ADDR[4]
unit.1.4.port.8.s.4.orderindex=-1
unit.1.4.port.8.s.4.visible=1
unit.1.4.port.8.s.5.alias=
unit.1.4.port.8.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.5.name=SECTOR_ADDR[5]
unit.1.4.port.8.s.5.orderindex=-1
unit.1.4.port.8.s.5.visible=1
unit.1.4.port.8.s.6.alias=
unit.1.4.port.8.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.6.name=SECTOR_ADDR[6]
unit.1.4.port.8.s.6.orderindex=-1
unit.1.4.port.8.s.6.visible=1
unit.1.4.port.8.s.7.alias=
unit.1.4.port.8.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.7.name=SECTOR_ADDR[7]
unit.1.4.port.8.s.7.orderindex=-1
unit.1.4.port.8.s.7.visible=1
unit.1.4.port.8.s.8.alias=
unit.1.4.port.8.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.8.name=SECTOR_ADDR[8]
unit.1.4.port.8.s.8.orderindex=-1
unit.1.4.port.8.s.8.visible=1
unit.1.4.port.8.s.9.alias=
unit.1.4.port.8.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.8.s.9.name=SECTOR_ADDR[9]
unit.1.4.port.8.s.9.orderindex=-1
unit.1.4.port.8.s.9.visible=1
unit.1.4.port.9.b.0.alias=
unit.1.4.port.9.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23
unit.1.4.port.9.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.b.0.name=REG_FIS.LBA
unit.1.4.port.9.b.0.orderindex=-1
unit.1.4.port.9.b.0.radix=Hex
unit.1.4.port.9.b.0.signedOffset=0.0
unit.1.4.port.9.b.0.signedPrecision=0
unit.1.4.port.9.b.0.signedScaleFactor=1.0
unit.1.4.port.9.b.0.unsignedOffset=0.0
unit.1.4.port.9.b.0.unsignedPrecision=0
unit.1.4.port.9.b.0.unsignedScaleFactor=1.0
unit.1.4.port.9.b.0.visible=1
unit.1.4.port.9.buscount=1
unit.1.4.port.9.channelcount=24
unit.1.4.port.9.s.0.alias=
unit.1.4.port.9.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.0.name=REG_FIS.LBA[0]
unit.1.4.port.9.s.0.orderindex=-1
unit.1.4.port.9.s.0.visible=1
unit.1.4.port.9.s.1.alias=
unit.1.4.port.9.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.1.name=REG_FIS.LBA[1]
unit.1.4.port.9.s.1.orderindex=-1
unit.1.4.port.9.s.1.visible=1
unit.1.4.port.9.s.10.alias=
unit.1.4.port.9.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.10.name=REG_FIS.LBA[10]
unit.1.4.port.9.s.10.orderindex=-1
unit.1.4.port.9.s.10.visible=1
unit.1.4.port.9.s.11.alias=
unit.1.4.port.9.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.11.name=REG_FIS.LBA[11]
unit.1.4.port.9.s.11.orderindex=-1
unit.1.4.port.9.s.11.visible=1
unit.1.4.port.9.s.12.alias=
unit.1.4.port.9.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.12.name=REG_FIS.LBA[12]
unit.1.4.port.9.s.12.orderindex=-1
unit.1.4.port.9.s.12.visible=1
unit.1.4.port.9.s.13.alias=
unit.1.4.port.9.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.13.name=REG_FIS.LBA[13]
unit.1.4.port.9.s.13.orderindex=-1
unit.1.4.port.9.s.13.visible=1
unit.1.4.port.9.s.14.alias=
unit.1.4.port.9.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.14.name=REG_FIS.LBA[14]
unit.1.4.port.9.s.14.orderindex=-1
unit.1.4.port.9.s.14.visible=1
unit.1.4.port.9.s.15.alias=
unit.1.4.port.9.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.15.name=REG_FIS.LBA[15]
unit.1.4.port.9.s.15.orderindex=-1
unit.1.4.port.9.s.15.visible=1
unit.1.4.port.9.s.16.alias=
unit.1.4.port.9.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.16.name=REG_FIS.LBA[16]
unit.1.4.port.9.s.16.orderindex=-1
unit.1.4.port.9.s.16.visible=1
unit.1.4.port.9.s.17.alias=
unit.1.4.port.9.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.17.name=REG_FIS.LBA[17]
unit.1.4.port.9.s.17.orderindex=-1
unit.1.4.port.9.s.17.visible=1
unit.1.4.port.9.s.18.alias=
unit.1.4.port.9.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.18.name=REG_FIS.LBA[18]
unit.1.4.port.9.s.18.orderindex=-1
unit.1.4.port.9.s.18.visible=1
unit.1.4.port.9.s.19.alias=
unit.1.4.port.9.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.19.name=REG_FIS.LBA[19]
unit.1.4.port.9.s.19.orderindex=-1
unit.1.4.port.9.s.19.visible=1
unit.1.4.port.9.s.2.alias=
unit.1.4.port.9.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.2.name=REG_FIS.LBA[2]
unit.1.4.port.9.s.2.orderindex=-1
unit.1.4.port.9.s.2.visible=1
unit.1.4.port.9.s.20.alias=
unit.1.4.port.9.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.20.name=REG_FIS.LBA[20]
unit.1.4.port.9.s.20.orderindex=-1
unit.1.4.port.9.s.20.visible=1
unit.1.4.port.9.s.21.alias=
unit.1.4.port.9.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.21.name=REG_FIS.LBA[21]
unit.1.4.port.9.s.21.orderindex=-1
unit.1.4.port.9.s.21.visible=1
unit.1.4.port.9.s.22.alias=
unit.1.4.port.9.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.22.name=REG_FIS.LBA[22]
unit.1.4.port.9.s.22.orderindex=-1
unit.1.4.port.9.s.22.visible=1
unit.1.4.port.9.s.23.alias=
unit.1.4.port.9.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.23.name=REG_FIS.LBA[23]
unit.1.4.port.9.s.23.orderindex=-1
unit.1.4.port.9.s.23.visible=1
unit.1.4.port.9.s.3.alias=
unit.1.4.port.9.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.3.name=REG_FIS.LBA[3]
unit.1.4.port.9.s.3.orderindex=-1
unit.1.4.port.9.s.3.visible=1
unit.1.4.port.9.s.4.alias=
unit.1.4.port.9.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.4.name=REG_FIS.LBA[4]
unit.1.4.port.9.s.4.orderindex=-1
unit.1.4.port.9.s.4.visible=1
unit.1.4.port.9.s.5.alias=
unit.1.4.port.9.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.5.name=REG_FIS.LBA[5]
unit.1.4.port.9.s.5.orderindex=-1
unit.1.4.port.9.s.5.visible=1
unit.1.4.port.9.s.6.alias=
unit.1.4.port.9.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.6.name=REG_FIS.LBA[6]
unit.1.4.port.9.s.6.orderindex=-1
unit.1.4.port.9.s.6.visible=1
unit.1.4.port.9.s.7.alias=
unit.1.4.port.9.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.7.name=REG_FIS.LBA[7]
unit.1.4.port.9.s.7.orderindex=-1
unit.1.4.port.9.s.7.visible=1
unit.1.4.port.9.s.8.alias=
unit.1.4.port.9.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.8.name=REG_FIS.LBA[8]
unit.1.4.port.9.s.8.orderindex=-1
unit.1.4.port.9.s.8.visible=1
unit.1.4.port.9.s.9.alias=
unit.1.4.port.9.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.4.port.9.s.9.name=REG_FIS.LBA[9]
unit.1.4.port.9.s.9.orderindex=-1
unit.1.4.port.9.s.9.visible=1
unit.1.4.portcount=14
unit.1.4.rep_trigger.clobber=1
unit.1.4.rep_trigger.dir=/home/aamendon/reports/phdtheses/aamendon/code/2010/sata_core/VERSION4/base_linux_nfs_fis_retry_REG_XRDY/chipscope
unit.1.4.rep_trigger.filename=waveform
unit.1.4.rep_trigger.format=ASCII
unit.1.4.rep_trigger.loggingEnabled=0
unit.1.4.rep_trigger.signals=All Signals/Buses
unit.1.4.samplesPerTrigger=1
unit.1.4.triggerCapture=1
unit.1.4.triggerNSamplesTS=0
unit.1.4.triggerPosition=700
unit.1.4.triggerWindowCount=1
unit.1.4.triggerWindowDepth=1024
unit.1.4.triggerWindowTS=0
unit.1.4.username=CMD_LAYER
unit.1.4.waveform.count=25
unit.1.4.waveform.posn.0.channel=2147483646
unit.1.4.waveform.posn.0.name=WRITE_DATA_COUNT
unit.1.4.waveform.posn.0.radix=1
unit.1.4.waveform.posn.0.type=bus
unit.1.4.waveform.posn.1.channel=2147483646
unit.1.4.waveform.posn.1.name=DWORD_COUNT
unit.1.4.waveform.posn.1.radix=4
unit.1.4.waveform.posn.1.type=bus
unit.1.4.waveform.posn.10.channel=2147483646
unit.1.4.waveform.posn.10.name=LL_DIN
unit.1.4.waveform.posn.10.radix=1
unit.1.4.waveform.posn.10.type=bus
unit.1.4.waveform.posn.100.channel=2147483646
unit.1.4.waveform.posn.100.name=USER_DOUT_ILA
unit.1.4.waveform.posn.100.radix=1
unit.1.4.waveform.posn.100.type=bus
unit.1.4.waveform.posn.101.channel=2147483646
unit.1.4.waveform.posn.101.name=USER_DOUT_ILA
unit.1.4.waveform.posn.101.radix=1
unit.1.4.waveform.posn.101.type=bus
unit.1.4.waveform.posn.102.channel=2147483646
unit.1.4.waveform.posn.102.name=USER_DOUT_ILA
unit.1.4.waveform.posn.102.radix=1
unit.1.4.waveform.posn.102.type=bus
unit.1.4.waveform.posn.103.channel=2147483646
unit.1.4.waveform.posn.103.name=USER_DOUT_ILA
unit.1.4.waveform.posn.103.radix=1
unit.1.4.waveform.posn.103.type=bus
unit.1.4.waveform.posn.104.channel=2147483646
unit.1.4.waveform.posn.104.name=USER_DOUT_ILA
unit.1.4.waveform.posn.104.radix=1
unit.1.4.waveform.posn.104.type=bus
unit.1.4.waveform.posn.105.channel=2147483646
unit.1.4.waveform.posn.105.name=USER_DOUT_ILA
unit.1.4.waveform.posn.105.radix=1
unit.1.4.waveform.posn.105.type=bus
unit.1.4.waveform.posn.106.channel=2147483646
unit.1.4.waveform.posn.106.name=USER_DOUT_ILA
unit.1.4.waveform.posn.106.radix=1
unit.1.4.waveform.posn.106.type=bus
unit.1.4.waveform.posn.107.channel=2147483646
unit.1.4.waveform.posn.107.name=USER_DOUT_ILA
unit.1.4.waveform.posn.107.radix=1
unit.1.4.waveform.posn.107.type=bus
unit.1.4.waveform.posn.108.channel=2147483646
unit.1.4.waveform.posn.108.name=USER_DOUT_ILA
unit.1.4.waveform.posn.108.radix=1
unit.1.4.waveform.posn.108.type=bus
unit.1.4.waveform.posn.109.channel=2147483646
unit.1.4.waveform.posn.109.name=USER_DOUT_ILA
unit.1.4.waveform.posn.109.radix=1
unit.1.4.waveform.posn.109.type=bus
unit.1.4.waveform.posn.11.channel=2147483646
unit.1.4.waveform.posn.11.name=USER_DOUT_ILA
unit.1.4.waveform.posn.11.radix=1
unit.1.4.waveform.posn.11.type=bus
unit.1.4.waveform.posn.110.channel=2147483646
unit.1.4.waveform.posn.110.name=USER_DOUT_ILA
unit.1.4.waveform.posn.110.radix=1
unit.1.4.waveform.posn.110.type=bus
unit.1.4.waveform.posn.111.channel=2147483646
unit.1.4.waveform.posn.111.name=USER_DOUT_ILA
unit.1.4.waveform.posn.111.radix=1
unit.1.4.waveform.posn.111.type=bus
unit.1.4.waveform.posn.112.channel=2147483646
unit.1.4.waveform.posn.112.name=USER_DOUT_ILA
unit.1.4.waveform.posn.112.radix=1
unit.1.4.waveform.posn.112.type=bus
unit.1.4.waveform.posn.113.channel=2147483646
unit.1.4.waveform.posn.113.name=USER_DOUT_ILA
unit.1.4.waveform.posn.113.radix=1
unit.1.4.waveform.posn.113.type=bus
unit.1.4.waveform.posn.114.channel=2147483646
unit.1.4.waveform.posn.114.name=USER_DOUT_ILA
unit.1.4.waveform.posn.114.radix=1
unit.1.4.waveform.posn.114.type=bus
unit.1.4.waveform.posn.115.channel=2147483646
unit.1.4.waveform.posn.115.name=USER_DOUT_ILA
unit.1.4.waveform.posn.115.radix=1
unit.1.4.waveform.posn.115.type=bus
unit.1.4.waveform.posn.116.channel=2147483646
unit.1.4.waveform.posn.116.name=USER_DOUT_ILA
unit.1.4.waveform.posn.116.radix=1
unit.1.4.waveform.posn.116.type=bus
unit.1.4.waveform.posn.117.channel=2147483646
unit.1.4.waveform.posn.117.name=USER_DOUT_ILA
unit.1.4.waveform.posn.117.radix=1
unit.1.4.waveform.posn.117.type=bus
unit.1.4.waveform.posn.118.channel=2147483646
unit.1.4.waveform.posn.118.name=USER_DOUT_ILA
unit.1.4.waveform.posn.118.radix=1
unit.1.4.waveform.posn.118.type=bus
unit.1.4.waveform.posn.119.channel=2147483646
unit.1.4.waveform.posn.119.name=USER_DOUT_ILA
unit.1.4.waveform.posn.119.radix=1
unit.1.4.waveform.posn.119.type=bus
unit.1.4.waveform.posn.12.channel=2147483646
unit.1.4.waveform.posn.12.name=USER_DIN
unit.1.4.waveform.posn.12.radix=4
unit.1.4.waveform.posn.12.type=bus
unit.1.4.waveform.posn.120.channel=2147483646
unit.1.4.waveform.posn.120.name=USER_DOUT_ILA
unit.1.4.waveform.posn.120.radix=1
unit.1.4.waveform.posn.120.type=bus
unit.1.4.waveform.posn.121.channel=2147483646
unit.1.4.waveform.posn.121.name=USER_DOUT_ILA
unit.1.4.waveform.posn.121.radix=1
unit.1.4.waveform.posn.121.type=bus
unit.1.4.waveform.posn.122.channel=2147483646
unit.1.4.waveform.posn.122.name=USER_DOUT_ILA
unit.1.4.waveform.posn.122.radix=1
unit.1.4.waveform.posn.122.type=bus
unit.1.4.waveform.posn.123.channel=2147483646
unit.1.4.waveform.posn.123.name=USER_DOUT_ILA
unit.1.4.waveform.posn.123.radix=1
unit.1.4.waveform.posn.123.type=bus
unit.1.4.waveform.posn.124.channel=2147483646
unit.1.4.waveform.posn.124.name=USER_DOUT_ILA
unit.1.4.waveform.posn.124.radix=1
unit.1.4.waveform.posn.124.type=bus
unit.1.4.waveform.posn.125.channel=2147483646
unit.1.4.waveform.posn.125.name=USER_DOUT_ILA
unit.1.4.waveform.posn.125.radix=1
unit.1.4.waveform.posn.125.type=bus
unit.1.4.waveform.posn.126.channel=2147483646
unit.1.4.waveform.posn.126.name=USER_DOUT_ILA
unit.1.4.waveform.posn.126.radix=1
unit.1.4.waveform.posn.126.type=bus
unit.1.4.waveform.posn.127.channel=2147483646
unit.1.4.waveform.posn.127.name=USER_DOUT_ILA
unit.1.4.waveform.posn.127.radix=1
unit.1.4.waveform.posn.127.type=bus
unit.1.4.waveform.posn.128.channel=2147483646
unit.1.4.waveform.posn.128.name=USER_DOUT_ILA
unit.1.4.waveform.posn.128.radix=1
unit.1.4.waveform.posn.128.type=bus
unit.1.4.waveform.posn.129.channel=2147483646
unit.1.4.waveform.posn.129.name=USER_DOUT_ILA
unit.1.4.waveform.posn.129.radix=1
unit.1.4.waveform.posn.129.type=bus
unit.1.4.waveform.posn.13.channel=241
unit.1.4.waveform.posn.13.name=USER_DIN_RE
unit.1.4.waveform.posn.13.radix=1
unit.1.4.waveform.posn.13.type=signal
unit.1.4.waveform.posn.130.channel=2147483646
unit.1.4.waveform.posn.130.name=USER_DOUT_ILA
unit.1.4.waveform.posn.130.radix=1
unit.1.4.waveform.posn.130.type=bus
unit.1.4.waveform.posn.131.channel=2147483646
unit.1.4.waveform.posn.131.name=USER_DOUT_ILA
unit.1.4.waveform.posn.131.radix=1
unit.1.4.waveform.posn.131.type=bus
unit.1.4.waveform.posn.132.channel=2147483646
unit.1.4.waveform.posn.132.name=USER_DOUT_ILA
unit.1.4.waveform.posn.132.radix=1
unit.1.4.waveform.posn.132.type=bus
unit.1.4.waveform.posn.133.channel=2147483646
unit.1.4.waveform.posn.133.name=USER_DOUT_ILA
unit.1.4.waveform.posn.133.radix=1
unit.1.4.waveform.posn.133.type=bus
unit.1.4.waveform.posn.134.channel=2147483646
unit.1.4.waveform.posn.134.name=USER_DOUT_ILA
unit.1.4.waveform.posn.134.radix=1
unit.1.4.waveform.posn.134.type=bus
unit.1.4.waveform.posn.135.channel=2147483646
unit.1.4.waveform.posn.135.name=USER_DOUT_ILA
unit.1.4.waveform.posn.135.radix=1
unit.1.4.waveform.posn.135.type=bus
unit.1.4.waveform.posn.136.channel=2147483646
unit.1.4.waveform.posn.136.name=USER_DOUT_ILA
unit.1.4.waveform.posn.136.radix=1
unit.1.4.waveform.posn.136.type=bus
unit.1.4.waveform.posn.137.channel=2147483646
unit.1.4.waveform.posn.137.name=USER_DOUT_ILA
unit.1.4.waveform.posn.137.radix=1
unit.1.4.waveform.posn.137.type=bus
unit.1.4.waveform.posn.138.channel=2147483646
unit.1.4.waveform.posn.138.name=USER_DOUT_ILA
unit.1.4.waveform.posn.138.radix=1
unit.1.4.waveform.posn.138.type=bus
unit.1.4.waveform.posn.139.channel=2147483646
unit.1.4.waveform.posn.139.name=USER_DOUT_ILA
unit.1.4.waveform.posn.139.radix=1
unit.1.4.waveform.posn.139.type=bus
unit.1.4.waveform.posn.14.channel=250
unit.1.4.waveform.posn.14.name=USER_FIFO_EMPTY
unit.1.4.waveform.posn.14.radix=1
unit.1.4.waveform.posn.14.type=signal
unit.1.4.waveform.posn.140.channel=2147483646
unit.1.4.waveform.posn.140.name=USER_DOUT_ILA
unit.1.4.waveform.posn.140.radix=1
unit.1.4.waveform.posn.140.type=bus
unit.1.4.waveform.posn.141.channel=2147483646
unit.1.4.waveform.posn.141.name=USER_DOUT_ILA
unit.1.4.waveform.posn.141.radix=1
unit.1.4.waveform.posn.141.type=bus
unit.1.4.waveform.posn.142.channel=2147483646
unit.1.4.waveform.posn.142.name=USER_DOUT_ILA
unit.1.4.waveform.posn.142.radix=1
unit.1.4.waveform.posn.142.type=bus
unit.1.4.waveform.posn.143.channel=2147483646
unit.1.4.waveform.posn.143.name=USER_DOUT_ILA
unit.1.4.waveform.posn.143.radix=1
unit.1.4.waveform.posn.143.type=bus
unit.1.4.waveform.posn.144.channel=2147483646
unit.1.4.waveform.posn.144.name=USER_DOUT_ILA
unit.1.4.waveform.posn.144.radix=1
unit.1.4.waveform.posn.144.type=bus
unit.1.4.waveform.posn.145.channel=2147483646
unit.1.4.waveform.posn.145.name=USER_DOUT_ILA
unit.1.4.waveform.posn.145.radix=1
unit.1.4.waveform.posn.145.type=bus
unit.1.4.waveform.posn.146.channel=2147483646
unit.1.4.waveform.posn.146.name=USER_DOUT_ILA
unit.1.4.waveform.posn.146.radix=1
unit.1.4.waveform.posn.146.type=bus
unit.1.4.waveform.posn.147.channel=2147483646
unit.1.4.waveform.posn.147.name=USER_DOUT_ILA
unit.1.4.waveform.posn.147.radix=1
unit.1.4.waveform.posn.147.type=bus
unit.1.4.waveform.posn.148.channel=2147483646
unit.1.4.waveform.posn.148.name=USER_DOUT_ILA
unit.1.4.waveform.posn.148.radix=1
unit.1.4.waveform.posn.148.type=bus
unit.1.4.waveform.posn.149.channel=2147483646
unit.1.4.waveform.posn.149.name=USER_DOUT_ILA
unit.1.4.waveform.posn.149.radix=1
unit.1.4.waveform.posn.149.type=bus
unit.1.4.waveform.posn.15.channel=2147483646
unit.1.4.waveform.posn.15.name=COMMAND_FSM_VALUE
unit.1.4.waveform.posn.15.radix=1
unit.1.4.waveform.posn.15.type=bus
unit.1.4.waveform.posn.150.channel=2147483646
unit.1.4.waveform.posn.150.name=USER_DOUT_ILA
unit.1.4.waveform.posn.150.radix=1
unit.1.4.waveform.posn.150.type=bus
unit.1.4.waveform.posn.151.channel=2147483646
unit.1.4.waveform.posn.151.name=USER_DOUT_ILA
unit.1.4.waveform.posn.151.radix=1
unit.1.4.waveform.posn.151.type=bus
unit.1.4.waveform.posn.152.channel=2147483646
unit.1.4.waveform.posn.152.name=USER_DOUT_ILA
unit.1.4.waveform.posn.152.radix=1
unit.1.4.waveform.posn.152.type=bus
unit.1.4.waveform.posn.153.channel=2147483646
unit.1.4.waveform.posn.153.name=USER_DOUT_ILA
unit.1.4.waveform.posn.153.radix=1
unit.1.4.waveform.posn.153.type=bus
unit.1.4.waveform.posn.154.channel=2147483646
unit.1.4.waveform.posn.154.name=USER_DOUT_ILA
unit.1.4.waveform.posn.154.radix=1
unit.1.4.waveform.posn.154.type=bus
unit.1.4.waveform.posn.155.channel=2147483646
unit.1.4.waveform.posn.155.name=USER_DOUT_ILA
unit.1.4.waveform.posn.155.radix=1
unit.1.4.waveform.posn.155.type=bus
unit.1.4.waveform.posn.156.channel=2147483646
unit.1.4.waveform.posn.156.name=USER_DOUT_ILA
unit.1.4.waveform.posn.156.radix=1
unit.1.4.waveform.posn.156.type=bus
unit.1.4.waveform.posn.157.channel=2147483646
unit.1.4.waveform.posn.157.name=USER_DOUT_ILA
unit.1.4.waveform.posn.157.radix=1
unit.1.4.waveform.posn.157.type=bus
unit.1.4.waveform.posn.158.channel=2147483646
unit.1.4.waveform.posn.158.name=USER_DOUT_ILA
unit.1.4.waveform.posn.158.radix=1
unit.1.4.waveform.posn.158.type=bus
unit.1.4.waveform.posn.159.channel=2147483646
unit.1.4.waveform.posn.159.name=USER_DOUT_ILA
unit.1.4.waveform.posn.159.radix=1
unit.1.4.waveform.posn.159.type=bus
unit.1.4.waveform.posn.16.channel=240
unit.1.4.waveform.posn.16.name=NEW_CMD
unit.1.4.waveform.posn.16.radix=4
unit.1.4.waveform.posn.16.type=signal
unit.1.4.waveform.posn.160.channel=2147483646
unit.1.4.waveform.posn.160.name=USER_DOUT_ILA
unit.1.4.waveform.posn.160.radix=1
unit.1.4.waveform.posn.160.type=bus
unit.1.4.waveform.posn.161.channel=2147483646
unit.1.4.waveform.posn.161.name=USER_DOUT_ILA
unit.1.4.waveform.posn.161.radix=1
unit.1.4.waveform.posn.161.type=bus
unit.1.4.waveform.posn.162.channel=2147483646
unit.1.4.waveform.posn.162.name=USER_DOUT_ILA
unit.1.4.waveform.posn.162.radix=1
unit.1.4.waveform.posn.162.type=bus
unit.1.4.waveform.posn.163.channel=2147483646
unit.1.4.waveform.posn.163.name=USER_DOUT_ILA
unit.1.4.waveform.posn.163.radix=1
unit.1.4.waveform.posn.163.type=bus
unit.1.4.waveform.posn.164.channel=2147483646
unit.1.4.waveform.posn.164.name=USER_DOUT_ILA
unit.1.4.waveform.posn.164.radix=1
unit.1.4.waveform.posn.164.type=bus
unit.1.4.waveform.posn.165.channel=2147483646
unit.1.4.waveform.posn.165.name=USER_DOUT_ILA
unit.1.4.waveform.posn.165.radix=1
unit.1.4.waveform.posn.165.type=bus
unit.1.4.waveform.posn.166.channel=2147483646
unit.1.4.waveform.posn.166.name=USER_DOUT_ILA
unit.1.4.waveform.posn.166.radix=1
unit.1.4.waveform.posn.166.type=bus
unit.1.4.waveform.posn.167.channel=2147483646
unit.1.4.waveform.posn.167.name=USER_DOUT_ILA
unit.1.4.waveform.posn.167.radix=1
unit.1.4.waveform.posn.167.type=bus
unit.1.4.waveform.posn.168.channel=2147483646
unit.1.4.waveform.posn.168.name=USER_DOUT_ILA
unit.1.4.waveform.posn.168.radix=1
unit.1.4.waveform.posn.168.type=bus
unit.1.4.waveform.posn.169.channel=2147483646
unit.1.4.waveform.posn.169.name=USER_DOUT_ILA
unit.1.4.waveform.posn.169.radix=1
unit.1.4.waveform.posn.169.type=bus
unit.1.4.waveform.posn.17.channel=242
unit.1.4.waveform.posn.17.name=USER_DOUT_RE
unit.1.4.waveform.posn.17.radix=1
unit.1.4.waveform.posn.17.type=signal
unit.1.4.waveform.posn.170.channel=2147483646
unit.1.4.waveform.posn.170.name=USER_DOUT_ILA
unit.1.4.waveform.posn.170.radix=1
unit.1.4.waveform.posn.170.type=bus
unit.1.4.waveform.posn.171.channel=2147483646
unit.1.4.waveform.posn.171.name=USER_DOUT_ILA
unit.1.4.waveform.posn.171.radix=1
unit.1.4.waveform.posn.171.type=bus
unit.1.4.waveform.posn.172.channel=2147483646
unit.1.4.waveform.posn.172.name=USER_DOUT_ILA
unit.1.4.waveform.posn.172.radix=1
unit.1.4.waveform.posn.172.type=bus
unit.1.4.waveform.posn.173.channel=2147483646
unit.1.4.waveform.posn.173.name=USER_DOUT_ILA
unit.1.4.waveform.posn.173.radix=1
unit.1.4.waveform.posn.173.type=bus
unit.1.4.waveform.posn.174.channel=2147483646
unit.1.4.waveform.posn.174.name=USER_DOUT_ILA
unit.1.4.waveform.posn.174.radix=1
unit.1.4.waveform.posn.174.type=bus
unit.1.4.waveform.posn.175.channel=2147483646
unit.1.4.waveform.posn.175.name=USER_DOUT_ILA
unit.1.4.waveform.posn.175.radix=1
unit.1.4.waveform.posn.175.type=bus
unit.1.4.waveform.posn.176.channel=2147483646
unit.1.4.waveform.posn.176.name=USER_DOUT_ILA
unit.1.4.waveform.posn.176.radix=1
unit.1.4.waveform.posn.176.type=bus
unit.1.4.waveform.posn.177.channel=2147483646
unit.1.4.waveform.posn.177.name=USER_DOUT_ILA
unit.1.4.waveform.posn.177.radix=1
unit.1.4.waveform.posn.177.type=bus
unit.1.4.waveform.posn.178.channel=2147483646
unit.1.4.waveform.posn.178.name=USER_DOUT_ILA
unit.1.4.waveform.posn.178.radix=1
unit.1.4.waveform.posn.178.type=bus
unit.1.4.waveform.posn.179.channel=2147483646
unit.1.4.waveform.posn.179.name=USER_DOUT_ILA
unit.1.4.waveform.posn.179.radix=1
unit.1.4.waveform.posn.179.type=bus
unit.1.4.waveform.posn.18.channel=243
unit.1.4.waveform.posn.18.name=READY_FOR_CMD
unit.1.4.waveform.posn.18.radix=1
unit.1.4.waveform.posn.18.type=signal
unit.1.4.waveform.posn.180.channel=2147483646
unit.1.4.waveform.posn.180.name=USER_DOUT_ILA
unit.1.4.waveform.posn.180.radix=1
unit.1.4.waveform.posn.180.type=bus
unit.1.4.waveform.posn.181.channel=2147483646
unit.1.4.waveform.posn.181.name=USER_DOUT_ILA
unit.1.4.waveform.posn.181.radix=1
unit.1.4.waveform.posn.181.type=bus
unit.1.4.waveform.posn.182.channel=2147483646
unit.1.4.waveform.posn.182.name=USER_DOUT_ILA
unit.1.4.waveform.posn.182.radix=1
unit.1.4.waveform.posn.182.type=bus
unit.1.4.waveform.posn.183.channel=2147483646
unit.1.4.waveform.posn.183.name=USER_DOUT_ILA
unit.1.4.waveform.posn.183.radix=1
unit.1.4.waveform.posn.183.type=bus
unit.1.4.waveform.posn.184.channel=2147483646
unit.1.4.waveform.posn.184.name=USER_DOUT_ILA
unit.1.4.waveform.posn.184.radix=1
unit.1.4.waveform.posn.184.type=bus
unit.1.4.waveform.posn.185.channel=2147483646
unit.1.4.waveform.posn.185.name=USER_DOUT_ILA
unit.1.4.waveform.posn.185.radix=1
unit.1.4.waveform.posn.185.type=bus
unit.1.4.waveform.posn.186.channel=2147483646
unit.1.4.waveform.posn.186.name=USER_DOUT_ILA
unit.1.4.waveform.posn.186.radix=1
unit.1.4.waveform.posn.186.type=bus
unit.1.4.waveform.posn.187.channel=2147483646
unit.1.4.waveform.posn.187.name=USER_DOUT_ILA
unit.1.4.waveform.posn.187.radix=1
unit.1.4.waveform.posn.187.type=bus
unit.1.4.waveform.posn.188.channel=2147483646
unit.1.4.waveform.posn.188.name=USER_DOUT_ILA
unit.1.4.waveform.posn.188.radix=1
unit.1.4.waveform.posn.188.type=bus
unit.1.4.waveform.posn.189.channel=2147483646
unit.1.4.waveform.posn.189.name=USER_DOUT_ILA
unit.1.4.waveform.posn.189.radix=1
unit.1.4.waveform.posn.189.type=bus
unit.1.4.waveform.posn.19.channel=244
unit.1.4.waveform.posn.19.name=CMD_START_OUT
unit.1.4.waveform.posn.19.radix=1
unit.1.4.waveform.posn.19.type=signal
unit.1.4.waveform.posn.190.channel=2147483646
unit.1.4.waveform.posn.190.name=USER_DOUT_ILA
unit.1.4.waveform.posn.190.radix=1
unit.1.4.waveform.posn.190.type=bus
unit.1.4.waveform.posn.191.channel=2147483646
unit.1.4.waveform.posn.191.name=USER_DOUT_ILA
unit.1.4.waveform.posn.191.radix=1
unit.1.4.waveform.posn.191.type=bus
unit.1.4.waveform.posn.192.channel=2147483646
unit.1.4.waveform.posn.192.name=USER_DOUT_ILA
unit.1.4.waveform.posn.192.radix=1
unit.1.4.waveform.posn.192.type=bus
unit.1.4.waveform.posn.193.channel=2147483646
unit.1.4.waveform.posn.193.name=USER_DOUT_ILA
unit.1.4.waveform.posn.193.radix=1
unit.1.4.waveform.posn.193.type=bus
unit.1.4.waveform.posn.194.channel=2147483646
unit.1.4.waveform.posn.194.name=USER_DOUT_ILA
unit.1.4.waveform.posn.194.radix=1
unit.1.4.waveform.posn.194.type=bus
unit.1.4.waveform.posn.195.channel=2147483646
unit.1.4.waveform.posn.195.name=USER_DOUT_ILA
unit.1.4.waveform.posn.195.radix=1
unit.1.4.waveform.posn.195.type=bus
unit.1.4.waveform.posn.196.channel=2147483646
unit.1.4.waveform.posn.196.name=USER_DOUT_ILA
unit.1.4.waveform.posn.196.radix=1
unit.1.4.waveform.posn.196.type=bus
unit.1.4.waveform.posn.197.channel=2147483646
unit.1.4.waveform.posn.197.name=USER_DOUT_ILA
unit.1.4.waveform.posn.197.radix=1
unit.1.4.waveform.posn.197.type=bus
unit.1.4.waveform.posn.198.channel=2147483646
unit.1.4.waveform.posn.198.name=USER_DOUT_ILA
unit.1.4.waveform.posn.198.radix=1
unit.1.4.waveform.posn.198.type=bus
unit.1.4.waveform.posn.199.channel=2147483646
unit.1.4.waveform.posn.199.name=USER_DOUT_ILA
unit.1.4.waveform.posn.199.radix=1
unit.1.4.waveform.posn.199.type=bus
unit.1.4.waveform.posn.2.channel=2147483646
unit.1.4.waveform.posn.2.name=REG_FIS.SECTOR_COUNT
unit.1.4.waveform.posn.2.radix=4
unit.1.4.waveform.posn.2.type=bus
unit.1.4.waveform.posn.20.channel=246
unit.1.4.waveform.posn.20.name=LL_DIN_RE_ILA
unit.1.4.waveform.posn.20.radix=1
unit.1.4.waveform.posn.20.type=signal
unit.1.4.waveform.posn.200.channel=2147483646
unit.1.4.waveform.posn.200.name=USER_DOUT_ILA
unit.1.4.waveform.posn.200.radix=1
unit.1.4.waveform.posn.200.type=bus
unit.1.4.waveform.posn.201.channel=2147483646
unit.1.4.waveform.posn.201.name=USER_DOUT_ILA
unit.1.4.waveform.posn.201.radix=1
unit.1.4.waveform.posn.201.type=bus
unit.1.4.waveform.posn.202.channel=2147483646
unit.1.4.waveform.posn.202.name=USER_DOUT_ILA
unit.1.4.waveform.posn.202.radix=1
unit.1.4.waveform.posn.202.type=bus
unit.1.4.waveform.posn.203.channel=2147483646
unit.1.4.waveform.posn.203.name=USER_DOUT_ILA
unit.1.4.waveform.posn.203.radix=1
unit.1.4.waveform.posn.203.type=bus
unit.1.4.waveform.posn.204.channel=2147483646
unit.1.4.waveform.posn.204.name=USER_DOUT_ILA
unit.1.4.waveform.posn.204.radix=1
unit.1.4.waveform.posn.204.type=bus
unit.1.4.waveform.posn.205.channel=2147483646
unit.1.4.waveform.posn.205.name=USER_DOUT_ILA
unit.1.4.waveform.posn.205.radix=1
unit.1.4.waveform.posn.205.type=bus
unit.1.4.waveform.posn.206.channel=2147483646
unit.1.4.waveform.posn.206.name=USER_DOUT_ILA
unit.1.4.waveform.posn.206.radix=1
unit.1.4.waveform.posn.206.type=bus
unit.1.4.waveform.posn.207.channel=2147483646
unit.1.4.waveform.posn.207.name=USER_DOUT_ILA
unit.1.4.waveform.posn.207.radix=1
unit.1.4.waveform.posn.207.type=bus
unit.1.4.waveform.posn.208.channel=2147483646
unit.1.4.waveform.posn.208.name=USER_DOUT_ILA
unit.1.4.waveform.posn.208.radix=1
unit.1.4.waveform.posn.208.type=bus
unit.1.4.waveform.posn.209.channel=2147483646
unit.1.4.waveform.posn.209.name=USER_DOUT_ILA
unit.1.4.waveform.posn.209.radix=1
unit.1.4.waveform.posn.209.type=bus
unit.1.4.waveform.posn.21.channel=247
unit.1.4.waveform.posn.21.name=NCQ_CMD_DONE
unit.1.4.waveform.posn.21.radix=1
unit.1.4.waveform.posn.21.type=signal
unit.1.4.waveform.posn.210.channel=2147483646
unit.1.4.waveform.posn.210.name=USER_DOUT_ILA
unit.1.4.waveform.posn.210.radix=1
unit.1.4.waveform.posn.210.type=bus
unit.1.4.waveform.posn.211.channel=2147483646
unit.1.4.waveform.posn.211.name=USER_DOUT_ILA
unit.1.4.waveform.posn.211.radix=1
unit.1.4.waveform.posn.211.type=bus
unit.1.4.waveform.posn.212.channel=2147483646
unit.1.4.waveform.posn.212.name=USER_DOUT_ILA
unit.1.4.waveform.posn.212.radix=1
unit.1.4.waveform.posn.212.type=bus
unit.1.4.waveform.posn.213.channel=2147483646
unit.1.4.waveform.posn.213.name=USER_DOUT_ILA
unit.1.4.waveform.posn.213.radix=1
unit.1.4.waveform.posn.213.type=bus
unit.1.4.waveform.posn.214.channel=2147483646
unit.1.4.waveform.posn.214.name=USER_DOUT_ILA
unit.1.4.waveform.posn.214.radix=1
unit.1.4.waveform.posn.214.type=bus
unit.1.4.waveform.posn.215.channel=2147483646
unit.1.4.waveform.posn.215.name=USER_DOUT_ILA
unit.1.4.waveform.posn.215.radix=1
unit.1.4.waveform.posn.215.type=bus
unit.1.4.waveform.posn.216.channel=2147483646
unit.1.4.waveform.posn.216.name=USER_DOUT_ILA
unit.1.4.waveform.posn.216.radix=1
unit.1.4.waveform.posn.216.type=bus
unit.1.4.waveform.posn.217.channel=2147483646
unit.1.4.waveform.posn.217.name=USER_DOUT_ILA
unit.1.4.waveform.posn.217.radix=1
unit.1.4.waveform.posn.217.type=bus
unit.1.4.waveform.posn.218.channel=2147483646
unit.1.4.waveform.posn.218.name=USER_DOUT_ILA
unit.1.4.waveform.posn.218.radix=1
unit.1.4.waveform.posn.218.type=bus
unit.1.4.waveform.posn.219.channel=2147483646
unit.1.4.waveform.posn.219.name=USER_DOUT_ILA
unit.1.4.waveform.posn.219.radix=1
unit.1.4.waveform.posn.219.type=bus
unit.1.4.waveform.posn.22.channel=248
unit.1.4.waveform.posn.22.name=NEW_CMD_NCQ
unit.1.4.waveform.posn.22.radix=1
unit.1.4.waveform.posn.22.type=signal
unit.1.4.waveform.posn.220.channel=2147483646
unit.1.4.waveform.posn.220.name=USER_DOUT_ILA
unit.1.4.waveform.posn.220.radix=1
unit.1.4.waveform.posn.220.type=bus
unit.1.4.waveform.posn.221.channel=2147483646
unit.1.4.waveform.posn.221.name=USER_DOUT_ILA
unit.1.4.waveform.posn.221.radix=1
unit.1.4.waveform.posn.221.type=bus
unit.1.4.waveform.posn.222.channel=2147483646
unit.1.4.waveform.posn.222.name=USER_DOUT_ILA
unit.1.4.waveform.posn.222.radix=1
unit.1.4.waveform.posn.222.type=bus
unit.1.4.waveform.posn.223.channel=2147483646
unit.1.4.waveform.posn.223.name=USER_DOUT_ILA
unit.1.4.waveform.posn.223.radix=1
unit.1.4.waveform.posn.223.type=bus
unit.1.4.waveform.posn.224.channel=2147483646
unit.1.4.waveform.posn.224.name=USER_DOUT_ILA
unit.1.4.waveform.posn.224.radix=1
unit.1.4.waveform.posn.224.type=bus
unit.1.4.waveform.posn.225.channel=2147483646
unit.1.4.waveform.posn.225.name=USER_DOUT_ILA
unit.1.4.waveform.posn.225.radix=1
unit.1.4.waveform.posn.225.type=bus
unit.1.4.waveform.posn.226.channel=2147483646
unit.1.4.waveform.posn.226.name=USER_DOUT_ILA
unit.1.4.waveform.posn.226.radix=1
unit.1.4.waveform.posn.226.type=bus
unit.1.4.waveform.posn.227.channel=2147483646
unit.1.4.waveform.posn.227.name=USER_DOUT_ILA
unit.1.4.waveform.posn.227.radix=1
unit.1.4.waveform.posn.227.type=bus
unit.1.4.waveform.posn.228.channel=2147483646
unit.1.4.waveform.posn.228.name=USER_DOUT_ILA
unit.1.4.waveform.posn.228.radix=1
unit.1.4.waveform.posn.228.type=bus
unit.1.4.waveform.posn.229.channel=2147483646
unit.1.4.waveform.posn.229.name=USER_DOUT_ILA
unit.1.4.waveform.posn.229.radix=1
unit.1.4.waveform.posn.229.type=bus
unit.1.4.waveform.posn.23.channel=249
unit.1.4.waveform.posn.23.name=write_fifo_full
unit.1.4.waveform.posn.23.radix=1
unit.1.4.waveform.posn.23.type=signal
unit.1.4.waveform.posn.230.channel=2147483646
unit.1.4.waveform.posn.230.name=USER_DOUT_ILA
unit.1.4.waveform.posn.230.radix=1
unit.1.4.waveform.posn.230.type=bus
unit.1.4.waveform.posn.231.channel=2147483646
unit.1.4.waveform.posn.231.name=USER_DOUT_ILA
unit.1.4.waveform.posn.231.radix=1
unit.1.4.waveform.posn.231.type=bus
unit.1.4.waveform.posn.232.channel=2147483646
unit.1.4.waveform.posn.232.name=USER_DOUT_ILA
unit.1.4.waveform.posn.232.radix=1
unit.1.4.waveform.posn.232.type=bus
unit.1.4.waveform.posn.233.channel=2147483646
unit.1.4.waveform.posn.233.name=USER_DOUT_ILA
unit.1.4.waveform.posn.233.radix=1
unit.1.4.waveform.posn.233.type=bus
unit.1.4.waveform.posn.234.channel=2147483646
unit.1.4.waveform.posn.234.name=USER_DOUT_ILA
unit.1.4.waveform.posn.234.radix=1
unit.1.4.waveform.posn.234.type=bus
unit.1.4.waveform.posn.235.channel=2147483646
unit.1.4.waveform.posn.235.name=USER_DOUT_ILA
unit.1.4.waveform.posn.235.radix=1
unit.1.4.waveform.posn.235.type=bus
unit.1.4.waveform.posn.236.channel=2147483646
unit.1.4.waveform.posn.236.name=USER_DOUT_ILA
unit.1.4.waveform.posn.236.radix=1
unit.1.4.waveform.posn.236.type=bus
unit.1.4.waveform.posn.237.channel=2147483646
unit.1.4.waveform.posn.237.name=USER_DOUT_ILA
unit.1.4.waveform.posn.237.radix=1
unit.1.4.waveform.posn.237.type=bus
unit.1.4.waveform.posn.238.channel=2147483646
unit.1.4.waveform.posn.238.name=USER_DOUT_ILA
unit.1.4.waveform.posn.238.radix=1
unit.1.4.waveform.posn.238.type=bus
unit.1.4.waveform.posn.239.channel=2147483646
unit.1.4.waveform.posn.239.name=USER_DOUT_ILA
unit.1.4.waveform.posn.239.radix=1
unit.1.4.waveform.posn.239.type=bus
unit.1.4.waveform.posn.24.channel=251
unit.1.4.waveform.posn.24.name=USER_FIFO_FULL
unit.1.4.waveform.posn.24.radix=1
unit.1.4.waveform.posn.24.type=signal
unit.1.4.waveform.posn.240.channel=2147483646
unit.1.4.waveform.posn.240.name=USER_DOUT_ILA
unit.1.4.waveform.posn.240.radix=1
unit.1.4.waveform.posn.240.type=bus
unit.1.4.waveform.posn.241.channel=2147483646
unit.1.4.waveform.posn.241.name=USER_DOUT_ILA
unit.1.4.waveform.posn.241.radix=1
unit.1.4.waveform.posn.241.type=bus
unit.1.4.waveform.posn.242.channel=2147483646
unit.1.4.waveform.posn.242.name=USER_DOUT_ILA
unit.1.4.waveform.posn.242.radix=1
unit.1.4.waveform.posn.242.type=bus
unit.1.4.waveform.posn.243.channel=2147483646
unit.1.4.waveform.posn.243.name=USER_DOUT_ILA
unit.1.4.waveform.posn.243.radix=1
unit.1.4.waveform.posn.243.type=bus
unit.1.4.waveform.posn.244.channel=2147483646
unit.1.4.waveform.posn.244.name=USER_DOUT_ILA
unit.1.4.waveform.posn.244.radix=1
unit.1.4.waveform.posn.244.type=bus
unit.1.4.waveform.posn.245.channel=2147483646
unit.1.4.waveform.posn.245.name=USER_DOUT_ILA
unit.1.4.waveform.posn.245.radix=1
unit.1.4.waveform.posn.245.type=bus
unit.1.4.waveform.posn.246.channel=2147483646
unit.1.4.waveform.posn.246.name=USER_DOUT_ILA
unit.1.4.waveform.posn.246.radix=1
unit.1.4.waveform.posn.246.type=bus
unit.1.4.waveform.posn.247.channel=2147483646
unit.1.4.waveform.posn.247.name=USER_DOUT_ILA
unit.1.4.waveform.posn.247.radix=1
unit.1.4.waveform.posn.247.type=bus
unit.1.4.waveform.posn.248.channel=2147483646
unit.1.4.waveform.posn.248.name=USER_DOUT_ILA
unit.1.4.waveform.posn.248.radix=1
unit.1.4.waveform.posn.248.type=bus
unit.1.4.waveform.posn.249.channel=2147483646
unit.1.4.waveform.posn.249.name=USER_DOUT_ILA
unit.1.4.waveform.posn.249.radix=1
unit.1.4.waveform.posn.249.type=bus
unit.1.4.waveform.posn.25.channel=251
unit.1.4.waveform.posn.25.name=USER_FIFO_FULL
unit.1.4.waveform.posn.25.radix=1
unit.1.4.waveform.posn.25.type=signal
unit.1.4.waveform.posn.250.channel=2147483646
unit.1.4.waveform.posn.250.name=USER_DOUT_ILA
unit.1.4.waveform.posn.250.radix=1
unit.1.4.waveform.posn.250.type=bus
unit.1.4.waveform.posn.251.channel=2147483646
unit.1.4.waveform.posn.251.name=USER_DOUT_ILA
unit.1.4.waveform.posn.251.radix=1
unit.1.4.waveform.posn.251.type=bus
unit.1.4.waveform.posn.252.channel=2147483646
unit.1.4.waveform.posn.252.name=USER_DOUT_ILA
unit.1.4.waveform.posn.252.radix=1
unit.1.4.waveform.posn.252.type=bus
unit.1.4.waveform.posn.253.channel=2147483646
unit.1.4.waveform.posn.253.name=USER_DOUT_ILA
unit.1.4.waveform.posn.253.radix=1
unit.1.4.waveform.posn.253.type=bus
unit.1.4.waveform.posn.254.channel=2147483646
unit.1.4.waveform.posn.254.name=USER_DOUT_ILA
unit.1.4.waveform.posn.254.radix=1
unit.1.4.waveform.posn.254.type=bus
unit.1.4.waveform.posn.255.channel=2147483646
unit.1.4.waveform.posn.255.name=USER_DOUT_ILA
unit.1.4.waveform.posn.255.radix=1
unit.1.4.waveform.posn.255.type=bus
unit.1.4.waveform.posn.256.channel=2147483646
unit.1.4.waveform.posn.256.name=USER_DOUT_ILA
unit.1.4.waveform.posn.256.radix=1
unit.1.4.waveform.posn.256.type=bus
unit.1.4.waveform.posn.257.channel=2147483646
unit.1.4.waveform.posn.257.name=USER_DOUT_ILA
unit.1.4.waveform.posn.257.radix=1
unit.1.4.waveform.posn.257.type=bus
unit.1.4.waveform.posn.258.channel=2147483646
unit.1.4.waveform.posn.258.name=USER_DOUT_ILA
unit.1.4.waveform.posn.258.radix=1
unit.1.4.waveform.posn.258.type=bus
unit.1.4.waveform.posn.259.channel=2147483646
unit.1.4.waveform.posn.259.name=USER_DOUT_ILA
unit.1.4.waveform.posn.259.radix=1
unit.1.4.waveform.posn.259.type=bus
unit.1.4.waveform.posn.26.channel=253
unit.1.4.waveform.posn.26.name=DWORD_COUNT[1]
unit.1.4.waveform.posn.26.radix=1
unit.1.4.waveform.posn.26.type=signal
unit.1.4.waveform.posn.260.channel=2147483646
unit.1.4.waveform.posn.260.name=USER_DOUT_ILA
unit.1.4.waveform.posn.260.radix=1
unit.1.4.waveform.posn.260.type=bus
unit.1.4.waveform.posn.261.channel=2147483646
unit.1.4.waveform.posn.261.name=USER_DOUT_ILA
unit.1.4.waveform.posn.261.radix=1
unit.1.4.waveform.posn.261.type=bus
unit.1.4.waveform.posn.262.channel=2147483646
unit.1.4.waveform.posn.262.name=USER_DOUT_ILA
unit.1.4.waveform.posn.262.radix=1
unit.1.4.waveform.posn.262.type=bus
unit.1.4.waveform.posn.263.channel=2147483646
unit.1.4.waveform.posn.263.name=USER_DOUT_ILA
unit.1.4.waveform.posn.263.radix=1
unit.1.4.waveform.posn.263.type=bus
unit.1.4.waveform.posn.264.channel=2147483646
unit.1.4.waveform.posn.264.name=USER_DOUT_ILA
unit.1.4.waveform.posn.264.radix=1
unit.1.4.waveform.posn.264.type=bus
unit.1.4.waveform.posn.265.channel=2147483646
unit.1.4.waveform.posn.265.name=USER_DOUT_ILA
unit.1.4.waveform.posn.265.radix=1
unit.1.4.waveform.posn.265.type=bus
unit.1.4.waveform.posn.266.channel=2147483646
unit.1.4.waveform.posn.266.name=USER_DOUT_ILA
unit.1.4.waveform.posn.266.radix=1
unit.1.4.waveform.posn.266.type=bus
unit.1.4.waveform.posn.267.channel=2147483646
unit.1.4.waveform.posn.267.name=USER_DOUT_ILA
unit.1.4.waveform.posn.267.radix=1
unit.1.4.waveform.posn.267.type=bus
unit.1.4.waveform.posn.268.channel=2147483646
unit.1.4.waveform.posn.268.name=USER_DOUT_ILA
unit.1.4.waveform.posn.268.radix=1
unit.1.4.waveform.posn.268.type=bus
unit.1.4.waveform.posn.269.channel=2147483646
unit.1.4.waveform.posn.269.name=USER_DOUT_ILA
unit.1.4.waveform.posn.269.radix=1
unit.1.4.waveform.posn.269.type=bus
unit.1.4.waveform.posn.27.channel=253
unit.1.4.waveform.posn.27.name=USER_FIFO_FULL
unit.1.4.waveform.posn.27.radix=1
unit.1.4.waveform.posn.27.type=signal
unit.1.4.waveform.posn.270.channel=2147483646
unit.1.4.waveform.posn.270.name=USER_DOUT_ILA
unit.1.4.waveform.posn.270.radix=1
unit.1.4.waveform.posn.270.type=bus
unit.1.4.waveform.posn.271.channel=2147483646
unit.1.4.waveform.posn.271.name=USER_DOUT_ILA
unit.1.4.waveform.posn.271.radix=1
unit.1.4.waveform.posn.271.type=bus
unit.1.4.waveform.posn.272.channel=2147483646
unit.1.4.waveform.posn.272.name=USER_DOUT_ILA
unit.1.4.waveform.posn.272.radix=1
unit.1.4.waveform.posn.272.type=bus
unit.1.4.waveform.posn.273.channel=2147483646
unit.1.4.waveform.posn.273.name=USER_DOUT_ILA
unit.1.4.waveform.posn.273.radix=1
unit.1.4.waveform.posn.273.type=bus
unit.1.4.waveform.posn.274.channel=2147483646
unit.1.4.waveform.posn.274.name=USER_DOUT_ILA
unit.1.4.waveform.posn.274.radix=1
unit.1.4.waveform.posn.274.type=bus
unit.1.4.waveform.posn.275.channel=2147483646
unit.1.4.waveform.posn.275.name=USER_DOUT_ILA
unit.1.4.waveform.posn.275.radix=1
unit.1.4.waveform.posn.275.type=bus
unit.1.4.waveform.posn.276.channel=2147483646
unit.1.4.waveform.posn.276.name=USER_DOUT_ILA
unit.1.4.waveform.posn.276.radix=1
unit.1.4.waveform.posn.276.type=bus
unit.1.4.waveform.posn.277.channel=2147483646
unit.1.4.waveform.posn.277.name=USER_DOUT_ILA
unit.1.4.waveform.posn.277.radix=1
unit.1.4.waveform.posn.277.type=bus
unit.1.4.waveform.posn.278.channel=2147483646
unit.1.4.waveform.posn.278.name=USER_DOUT_ILA
unit.1.4.waveform.posn.278.radix=1
unit.1.4.waveform.posn.278.type=bus
unit.1.4.waveform.posn.279.channel=2147483646
unit.1.4.waveform.posn.279.name=USER_DOUT_ILA
unit.1.4.waveform.posn.279.radix=1
unit.1.4.waveform.posn.279.type=bus
unit.1.4.waveform.posn.28.channel=253
unit.1.4.waveform.posn.28.name=USER_FIFO_FULL
unit.1.4.waveform.posn.28.radix=1
unit.1.4.waveform.posn.28.type=signal
unit.1.4.waveform.posn.280.channel=2147483646
unit.1.4.waveform.posn.280.name=USER_DOUT_ILA
unit.1.4.waveform.posn.280.radix=1
unit.1.4.waveform.posn.280.type=bus
unit.1.4.waveform.posn.29.channel=253
unit.1.4.waveform.posn.29.name=USER_FIFO_FULL
unit.1.4.waveform.posn.29.radix=1
unit.1.4.waveform.posn.29.type=signal
unit.1.4.waveform.posn.3.channel=2147483646
unit.1.4.waveform.posn.3.name=REG_FIS.LBA
unit.1.4.waveform.posn.3.radix=1
unit.1.4.waveform.posn.3.type=bus
unit.1.4.waveform.posn.30.channel=253
unit.1.4.waveform.posn.30.name=USER_FIFO_FULL
unit.1.4.waveform.posn.30.radix=1
unit.1.4.waveform.posn.30.type=signal
unit.1.4.waveform.posn.31.channel=2147483646
unit.1.4.waveform.posn.31.name=USER_DOUT_ILA
unit.1.4.waveform.posn.31.radix=1
unit.1.4.waveform.posn.31.type=bus
unit.1.4.waveform.posn.32.channel=2147483646
unit.1.4.waveform.posn.32.name=USER_DOUT_ILA
unit.1.4.waveform.posn.32.radix=1
unit.1.4.waveform.posn.32.type=bus
unit.1.4.waveform.posn.33.channel=2147483646
unit.1.4.waveform.posn.33.name=USER_DOUT_ILA
unit.1.4.waveform.posn.33.radix=1
unit.1.4.waveform.posn.33.type=bus
unit.1.4.waveform.posn.34.channel=2147483646
unit.1.4.waveform.posn.34.name=USER_DOUT_ILA
unit.1.4.waveform.posn.34.radix=1
unit.1.4.waveform.posn.34.type=bus
unit.1.4.waveform.posn.35.channel=2147483646
unit.1.4.waveform.posn.35.name=USER_DOUT_ILA
unit.1.4.waveform.posn.35.radix=1
unit.1.4.waveform.posn.35.type=bus
unit.1.4.waveform.posn.36.channel=2147483646
unit.1.4.waveform.posn.36.name=USER_DOUT_ILA
unit.1.4.waveform.posn.36.radix=1
unit.1.4.waveform.posn.36.type=bus
unit.1.4.waveform.posn.37.channel=2147483646
unit.1.4.waveform.posn.37.name=USER_DOUT_ILA
unit.1.4.waveform.posn.37.radix=1
unit.1.4.waveform.posn.37.type=bus
unit.1.4.waveform.posn.38.channel=2147483646
unit.1.4.waveform.posn.38.name=USER_DOUT_ILA
unit.1.4.waveform.posn.38.radix=1
unit.1.4.waveform.posn.38.type=bus
unit.1.4.waveform.posn.39.channel=2147483646
unit.1.4.waveform.posn.39.name=USER_DOUT_ILA
unit.1.4.waveform.posn.39.radix=1
unit.1.4.waveform.posn.39.type=bus
unit.1.4.waveform.posn.4.channel=2147483646
unit.1.4.waveform.posn.4.name=SECTOR_ADDR
unit.1.4.waveform.posn.4.radix=1
unit.1.4.waveform.posn.4.type=bus
unit.1.4.waveform.posn.40.channel=2147483646
unit.1.4.waveform.posn.40.name=USER_DOUT_ILA
unit.1.4.waveform.posn.40.radix=1
unit.1.4.waveform.posn.40.type=bus
unit.1.4.waveform.posn.41.channel=2147483646
unit.1.4.waveform.posn.41.name=USER_DOUT_ILA
unit.1.4.waveform.posn.41.radix=1
unit.1.4.waveform.posn.41.type=bus
unit.1.4.waveform.posn.42.channel=2147483646
unit.1.4.waveform.posn.42.name=USER_DOUT_ILA
unit.1.4.waveform.posn.42.radix=1
unit.1.4.waveform.posn.42.type=bus
unit.1.4.waveform.posn.43.channel=2147483646
unit.1.4.waveform.posn.43.name=USER_DOUT_ILA
unit.1.4.waveform.posn.43.radix=1
unit.1.4.waveform.posn.43.type=bus
unit.1.4.waveform.posn.44.channel=2147483646
unit.1.4.waveform.posn.44.name=USER_DOUT_ILA
unit.1.4.waveform.posn.44.radix=1
unit.1.4.waveform.posn.44.type=bus
unit.1.4.waveform.posn.45.channel=2147483646
unit.1.4.waveform.posn.45.name=USER_DOUT_ILA
unit.1.4.waveform.posn.45.radix=1
unit.1.4.waveform.posn.45.type=bus
unit.1.4.waveform.posn.46.channel=2147483646
unit.1.4.waveform.posn.46.name=USER_DOUT_ILA
unit.1.4.waveform.posn.46.radix=1
unit.1.4.waveform.posn.46.type=bus
unit.1.4.waveform.posn.47.channel=2147483646
unit.1.4.waveform.posn.47.name=USER_DOUT_ILA
unit.1.4.waveform.posn.47.radix=1
unit.1.4.waveform.posn.47.type=bus
unit.1.4.waveform.posn.48.channel=2147483646
unit.1.4.waveform.posn.48.name=USER_DOUT_ILA
unit.1.4.waveform.posn.48.radix=1
unit.1.4.waveform.posn.48.type=bus
unit.1.4.waveform.posn.49.channel=2147483646
unit.1.4.waveform.posn.49.name=USER_DOUT_ILA
unit.1.4.waveform.posn.49.radix=1
unit.1.4.waveform.posn.49.type=bus
unit.1.4.waveform.posn.5.channel=2147483646
unit.1.4.waveform.posn.5.name=SECTOR_TIMER
unit.1.4.waveform.posn.5.radix=1
unit.1.4.waveform.posn.5.type=bus
unit.1.4.waveform.posn.50.channel=2147483646
unit.1.4.waveform.posn.50.name=USER_DOUT_ILA
unit.1.4.waveform.posn.50.radix=1
unit.1.4.waveform.posn.50.type=bus
unit.1.4.waveform.posn.51.channel=2147483646
unit.1.4.waveform.posn.51.name=USER_DOUT_ILA
unit.1.4.waveform.posn.51.radix=1
unit.1.4.waveform.posn.51.type=bus
unit.1.4.waveform.posn.52.channel=2147483646
unit.1.4.waveform.posn.52.name=USER_DOUT_ILA
unit.1.4.waveform.posn.52.radix=1
unit.1.4.waveform.posn.52.type=bus
unit.1.4.waveform.posn.53.channel=2147483646
unit.1.4.waveform.posn.53.name=USER_DOUT_ILA
unit.1.4.waveform.posn.53.radix=1
unit.1.4.waveform.posn.53.type=bus
unit.1.4.waveform.posn.54.channel=2147483646
unit.1.4.waveform.posn.54.name=USER_DOUT_ILA
unit.1.4.waveform.posn.54.radix=1
unit.1.4.waveform.posn.54.type=bus
unit.1.4.waveform.posn.55.channel=2147483646
unit.1.4.waveform.posn.55.name=USER_DOUT_ILA
unit.1.4.waveform.posn.55.radix=1
unit.1.4.waveform.posn.55.type=bus
unit.1.4.waveform.posn.56.channel=2147483646
unit.1.4.waveform.posn.56.name=USER_DOUT_ILA
unit.1.4.waveform.posn.56.radix=1
unit.1.4.waveform.posn.56.type=bus
unit.1.4.waveform.posn.57.channel=2147483646
unit.1.4.waveform.posn.57.name=USER_DOUT_ILA
unit.1.4.waveform.posn.57.radix=1
unit.1.4.waveform.posn.57.type=bus
unit.1.4.waveform.posn.58.channel=2147483646
unit.1.4.waveform.posn.58.name=USER_DOUT_ILA
unit.1.4.waveform.posn.58.radix=1
unit.1.4.waveform.posn.58.type=bus
unit.1.4.waveform.posn.59.channel=2147483646
unit.1.4.waveform.posn.59.name=USER_DOUT_ILA
unit.1.4.waveform.posn.59.radix=1
unit.1.4.waveform.posn.59.type=bus
unit.1.4.waveform.posn.6.channel=2147483646
unit.1.4.waveform.posn.6.name=LL_CMD_TYPE_OUT
unit.1.4.waveform.posn.6.radix=1
unit.1.4.waveform.posn.6.type=bus
unit.1.4.waveform.posn.60.channel=2147483646
unit.1.4.waveform.posn.60.name=USER_DOUT_ILA
unit.1.4.waveform.posn.60.radix=1
unit.1.4.waveform.posn.60.type=bus
unit.1.4.waveform.posn.61.channel=2147483646
unit.1.4.waveform.posn.61.name=USER_DOUT_ILA
unit.1.4.waveform.posn.61.radix=1
unit.1.4.waveform.posn.61.type=bus
unit.1.4.waveform.posn.62.channel=2147483646
unit.1.4.waveform.posn.62.name=USER_DOUT_ILA
unit.1.4.waveform.posn.62.radix=1
unit.1.4.waveform.posn.62.type=bus
unit.1.4.waveform.posn.63.channel=2147483646
unit.1.4.waveform.posn.63.name=USER_DOUT_ILA
unit.1.4.waveform.posn.63.radix=1
unit.1.4.waveform.posn.63.type=bus
unit.1.4.waveform.posn.64.channel=2147483646
unit.1.4.waveform.posn.64.name=USER_DOUT_ILA
unit.1.4.waveform.posn.64.radix=1
unit.1.4.waveform.posn.64.type=bus
unit.1.4.waveform.posn.65.channel=2147483646
unit.1.4.waveform.posn.65.name=USER_DOUT_ILA
unit.1.4.waveform.posn.65.radix=1
unit.1.4.waveform.posn.65.type=bus
unit.1.4.waveform.posn.66.channel=2147483646
unit.1.4.waveform.posn.66.name=USER_DOUT_ILA
unit.1.4.waveform.posn.66.radix=1
unit.1.4.waveform.posn.66.type=bus
unit.1.4.waveform.posn.67.channel=2147483646
unit.1.4.waveform.posn.67.name=USER_DOUT_ILA
unit.1.4.waveform.posn.67.radix=1
unit.1.4.waveform.posn.67.type=bus
unit.1.4.waveform.posn.68.channel=2147483646
unit.1.4.waveform.posn.68.name=USER_DOUT_ILA
unit.1.4.waveform.posn.68.radix=1
unit.1.4.waveform.posn.68.type=bus
unit.1.4.waveform.posn.69.channel=2147483646
unit.1.4.waveform.posn.69.name=USER_DOUT_ILA
unit.1.4.waveform.posn.69.radix=1
unit.1.4.waveform.posn.69.type=bus
unit.1.4.waveform.posn.7.channel=2147483646
unit.1.4.waveform.posn.7.name=CMD_TYPE
unit.1.4.waveform.posn.7.radix=1
unit.1.4.waveform.posn.7.type=bus
unit.1.4.waveform.posn.70.channel=2147483646
unit.1.4.waveform.posn.70.name=USER_DOUT_ILA
unit.1.4.waveform.posn.70.radix=1
unit.1.4.waveform.posn.70.type=bus
unit.1.4.waveform.posn.71.channel=2147483646
unit.1.4.waveform.posn.71.name=USER_DOUT_ILA
unit.1.4.waveform.posn.71.radix=1
unit.1.4.waveform.posn.71.type=bus
unit.1.4.waveform.posn.72.channel=2147483646
unit.1.4.waveform.posn.72.name=USER_DOUT_ILA
unit.1.4.waveform.posn.72.radix=1
unit.1.4.waveform.posn.72.type=bus
unit.1.4.waveform.posn.73.channel=2147483646
unit.1.4.waveform.posn.73.name=USER_DOUT_ILA
unit.1.4.waveform.posn.73.radix=1
unit.1.4.waveform.posn.73.type=bus
unit.1.4.waveform.posn.74.channel=2147483646
unit.1.4.waveform.posn.74.name=USER_DOUT_ILA
unit.1.4.waveform.posn.74.radix=1
unit.1.4.waveform.posn.74.type=bus
unit.1.4.waveform.posn.75.channel=2147483646
unit.1.4.waveform.posn.75.name=USER_DOUT_ILA
unit.1.4.waveform.posn.75.radix=1
unit.1.4.waveform.posn.75.type=bus
unit.1.4.waveform.posn.76.channel=2147483646
unit.1.4.waveform.posn.76.name=USER_DOUT_ILA
unit.1.4.waveform.posn.76.radix=1
unit.1.4.waveform.posn.76.type=bus
unit.1.4.waveform.posn.77.channel=2147483646
unit.1.4.waveform.posn.77.name=USER_DOUT_ILA
unit.1.4.waveform.posn.77.radix=1
unit.1.4.waveform.posn.77.type=bus
unit.1.4.waveform.posn.78.channel=2147483646
unit.1.4.waveform.posn.78.name=USER_DOUT_ILA
unit.1.4.waveform.posn.78.radix=1
unit.1.4.waveform.posn.78.type=bus
unit.1.4.waveform.posn.79.channel=2147483646
unit.1.4.waveform.posn.79.name=USER_DOUT_ILA
unit.1.4.waveform.posn.79.radix=1
unit.1.4.waveform.posn.79.type=bus
unit.1.4.waveform.posn.8.channel=2147483646
unit.1.4.waveform.posn.8.name=LL_DOUT_OUT
unit.1.4.waveform.posn.8.radix=4
unit.1.4.waveform.posn.8.type=bus
unit.1.4.waveform.posn.80.channel=2147483646
unit.1.4.waveform.posn.80.name=USER_DOUT_ILA
unit.1.4.waveform.posn.80.radix=1
unit.1.4.waveform.posn.80.type=bus
unit.1.4.waveform.posn.81.channel=2147483646
unit.1.4.waveform.posn.81.name=USER_DOUT_ILA
unit.1.4.waveform.posn.81.radix=1
unit.1.4.waveform.posn.81.type=bus
unit.1.4.waveform.posn.82.channel=2147483646
unit.1.4.waveform.posn.82.name=USER_DOUT_ILA
unit.1.4.waveform.posn.82.radix=1
unit.1.4.waveform.posn.82.type=bus
unit.1.4.waveform.posn.83.channel=2147483646
unit.1.4.waveform.posn.83.name=USER_DOUT_ILA
unit.1.4.waveform.posn.83.radix=1
unit.1.4.waveform.posn.83.type=bus
unit.1.4.waveform.posn.84.channel=2147483646
unit.1.4.waveform.posn.84.name=USER_DOUT_ILA
unit.1.4.waveform.posn.84.radix=1
unit.1.4.waveform.posn.84.type=bus
unit.1.4.waveform.posn.85.channel=2147483646
unit.1.4.waveform.posn.85.name=USER_DOUT_ILA
unit.1.4.waveform.posn.85.radix=1
unit.1.4.waveform.posn.85.type=bus
unit.1.4.waveform.posn.86.channel=2147483646
unit.1.4.waveform.posn.86.name=USER_DOUT_ILA
unit.1.4.waveform.posn.86.radix=1
unit.1.4.waveform.posn.86.type=bus
unit.1.4.waveform.posn.87.channel=2147483646
unit.1.4.waveform.posn.87.name=USER_DOUT_ILA
unit.1.4.waveform.posn.87.radix=1
unit.1.4.waveform.posn.87.type=bus
unit.1.4.waveform.posn.88.channel=2147483646
unit.1.4.waveform.posn.88.name=USER_DOUT_ILA
unit.1.4.waveform.posn.88.radix=1
unit.1.4.waveform.posn.88.type=bus
unit.1.4.waveform.posn.89.channel=2147483646
unit.1.4.waveform.posn.89.name=USER_DOUT_ILA
unit.1.4.waveform.posn.89.radix=1
unit.1.4.waveform.posn.89.type=bus
unit.1.4.waveform.posn.9.channel=245
unit.1.4.waveform.posn.9.name=LL_DOUT_WE_OUT
unit.1.4.waveform.posn.9.radix=1
unit.1.4.waveform.posn.9.type=signal
unit.1.4.waveform.posn.90.channel=2147483646
unit.1.4.waveform.posn.90.name=USER_DOUT_ILA
unit.1.4.waveform.posn.90.radix=1
unit.1.4.waveform.posn.90.type=bus
unit.1.4.waveform.posn.91.channel=2147483646
unit.1.4.waveform.posn.91.name=USER_DOUT_ILA
unit.1.4.waveform.posn.91.radix=1
unit.1.4.waveform.posn.91.type=bus
unit.1.4.waveform.posn.92.channel=2147483646
unit.1.4.waveform.posn.92.name=USER_DOUT_ILA
unit.1.4.waveform.posn.92.radix=1
unit.1.4.waveform.posn.92.type=bus
unit.1.4.waveform.posn.93.channel=2147483646
unit.1.4.waveform.posn.93.name=USER_DOUT_ILA
unit.1.4.waveform.posn.93.radix=1
unit.1.4.waveform.posn.93.type=bus
unit.1.4.waveform.posn.94.channel=2147483646
unit.1.4.waveform.posn.94.name=USER_DOUT_ILA
unit.1.4.waveform.posn.94.radix=1
unit.1.4.waveform.posn.94.type=bus
unit.1.4.waveform.posn.95.channel=2147483646
unit.1.4.waveform.posn.95.name=USER_DOUT_ILA
unit.1.4.waveform.posn.95.radix=1
unit.1.4.waveform.posn.95.type=bus
unit.1.4.waveform.posn.96.channel=2147483646
unit.1.4.waveform.posn.96.name=USER_DOUT_ILA
unit.1.4.waveform.posn.96.radix=1
unit.1.4.waveform.posn.96.type=bus
unit.1.4.waveform.posn.97.channel=2147483646
unit.1.4.waveform.posn.97.name=USER_DOUT_ILA
unit.1.4.waveform.posn.97.radix=1
unit.1.4.waveform.posn.97.type=bus
unit.1.4.waveform.posn.98.channel=2147483646
unit.1.4.waveform.posn.98.name=USER_DOUT_ILA
unit.1.4.waveform.posn.98.radix=1
unit.1.4.waveform.posn.98.type=bus
unit.1.4.waveform.posn.99.channel=2147483646
unit.1.4.waveform.posn.99.name=USER_DOUT_ILA
unit.1.4.waveform.posn.99.radix=1
unit.1.4.waveform.posn.99.type=bus
unit.1.5.0.HEIGHT0=0.58878505
unit.1.5.0.TriggerRow0=1
unit.1.5.0.TriggerRow1=1
unit.1.5.0.TriggerRow2=1
unit.1.5.0.WIDTH0=0.9395349
unit.1.5.0.X0=0.060465116
unit.1.5.0.Y0=0.0
unit.1.5.1.HEIGHT1=0.69826436
unit.1.5.1.WIDTH1=0.9172093
unit.1.5.1.X1=0.06883721
unit.1.5.1.Y1=0.108144194
unit.1.5.MFBitsA0=0010
unit.1.5.MFBitsA1=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.5.MFBitsA2=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.5.MFBitsA3=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.5.MFBitsA4=10000000000000000000000000000000
unit.1.5.MFBitsA5=XXXXXXXX
unit.1.5.MFBitsA6=10000000
unit.1.5.MFBitsA7=1XXXXXXX
unit.1.5.MFBitsB0=0000
unit.1.5.MFBitsB1=00000000000000000000000000000000
unit.1.5.MFBitsB2=00000000000000000000000000000000
unit.1.5.MFBitsB3=00000000000000000000000000000000
unit.1.5.MFBitsB4=00000000000000000000000000000000
unit.1.5.MFBitsB5=00000000
unit.1.5.MFBitsB6=00000000
unit.1.5.MFBitsB7=00000000
unit.1.5.MFCompareA0=0
unit.1.5.MFCompareA1=0
unit.1.5.MFCompareA2=0
unit.1.5.MFCompareA3=0
unit.1.5.MFCompareA4=0
unit.1.5.MFCompareA5=0
unit.1.5.MFCompareA6=0
unit.1.5.MFCompareA7=0
unit.1.5.MFCompareB0=999
unit.1.5.MFCompareB1=999
unit.1.5.MFCompareB2=999
unit.1.5.MFCompareB3=999
unit.1.5.MFCompareB4=999
unit.1.5.MFCompareB5=999
unit.1.5.MFCompareB6=999
unit.1.5.MFCompareB7=999
unit.1.5.MFCount=6
unit.1.5.MFDisplay0=1
unit.1.5.MFDisplay1=0
unit.1.5.MFDisplay2=0
unit.1.5.MFDisplay3=0
unit.1.5.MFDisplay4=4
unit.1.5.MFDisplay5=0
unit.1.5.MFDisplay6=4
unit.1.5.MFDisplay7=0
unit.1.5.MFEventType0=3
unit.1.5.MFEventType1=3
unit.1.5.MFEventType2=3
unit.1.5.MFEventType3=3
unit.1.5.MFEventType4=3
unit.1.5.MFEventType5=3
unit.1.5.MFEventType6=3
unit.1.5.MFEventType7=3
unit.1.5.RunMode=SINGLE RUN
unit.1.5.SQCondition=All Data
unit.1.5.SQContiguous0=0
unit.1.5.SequencerOn=0
unit.1.5.TCActive=0
unit.1.5.TCAdvanced0=0
unit.1.5.TCCondition0_0=
unit.1.5.TCCondition0_1=
unit.1.5.TCConditionType0=0
unit.1.5.TCCount=1
unit.1.5.TCEventCount0=1
unit.1.5.TCEventType0=3
unit.1.5.TCName0=TriggerCondition0
unit.1.5.TCOutputEnable0=0
unit.1.5.TCOutputHigh0=1
unit.1.5.TCOutputMode0=0
unit.1.5.browser_tree_state<Data\ Port>=1
unit.1.5.browser_tree_state<TRIG4>=0
unit.1.5.browser_tree_state<TRIG5>=0
unit.1.5.browser_tree_state<TRIG7>=1
unit.1.5.browser_tree_state<Trigger\ Ports>=1
unit.1.5.coretype=ILA
unit.1.5.eventCount0=1
unit.1.5.eventCount1=1
unit.1.5.eventCount2=1
unit.1.5.eventCount3=1
unit.1.5.eventCount4=1
unit.1.5.eventCount5=1
unit.1.5.eventCount6=1
unit.1.5.eventCount7=1
unit.1.5.port.-1.b.0.alias=COMMAND_COUNT
unit.1.5.port.-1.b.0.channellist=100 101 102 103 104 105 106 107
unit.1.5.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.b.0.name=BUS_4
unit.1.5.port.-1.b.0.orderindex=136
unit.1.5.port.-1.b.0.radix=Unsigned
unit.1.5.port.-1.b.0.signedOffset=0.0
unit.1.5.port.-1.b.0.signedPrecision=0
unit.1.5.port.-1.b.0.signedScaleFactor=1.0
unit.1.5.port.-1.b.0.tokencount=0
unit.1.5.port.-1.b.0.unsignedOffset=0.0
unit.1.5.port.-1.b.0.unsignedPrecision=0
unit.1.5.port.-1.b.0.unsignedScaleFactor=1.0
unit.1.5.port.-1.b.0.visible=1
unit.1.5.port.-1.b.1.alias=COMMAND_TIMER
unit.1.5.port.-1.b.1.channellist=68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99
unit.1.5.port.-1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.b.1.name=BUS_3
unit.1.5.port.-1.b.1.orderindex=135
unit.1.5.port.-1.b.1.radix=Unsigned
unit.1.5.port.-1.b.1.signedOffset=0.0
unit.1.5.port.-1.b.1.signedPrecision=0
unit.1.5.port.-1.b.1.signedScaleFactor=1.0
unit.1.5.port.-1.b.1.tokencount=0
unit.1.5.port.-1.b.1.unsignedOffset=0.0
unit.1.5.port.-1.b.1.unsignedPrecision=0
unit.1.5.port.-1.b.1.unsignedScaleFactor=1.0
unit.1.5.port.-1.b.1.visible=1
unit.1.5.port.-1.b.2.alias=QUEUE_DEPTH
unit.1.5.port.-1.b.2.channellist=108 109 110 111 112 113 114 115
unit.1.5.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.b.2.name=BUS_5
unit.1.5.port.-1.b.2.orderindex=137
unit.1.5.port.-1.b.2.radix=Unsigned
unit.1.5.port.-1.b.2.signedOffset=0.0
unit.1.5.port.-1.b.2.signedPrecision=0
unit.1.5.port.-1.b.2.signedScaleFactor=1.0
unit.1.5.port.-1.b.2.tokencount=0
unit.1.5.port.-1.b.2.unsignedOffset=0.0
unit.1.5.port.-1.b.2.unsignedPrecision=0
unit.1.5.port.-1.b.2.unsignedScaleFactor=1.0
unit.1.5.port.-1.b.2.visible=1
unit.1.5.port.-1.b.3.alias=QUEUE_DEPTH_REM
unit.1.5.port.-1.b.3.channellist=116 117 118 119 120 121 122 123
unit.1.5.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.b.3.name=BUS_6
unit.1.5.port.-1.b.3.orderindex=138
unit.1.5.port.-1.b.3.radix=Hex
unit.1.5.port.-1.b.3.signedOffset=0.0
unit.1.5.port.-1.b.3.signedPrecision=0
unit.1.5.port.-1.b.3.signedScaleFactor=1.0
unit.1.5.port.-1.b.3.tokencount=0
unit.1.5.port.-1.b.3.unsignedOffset=0.0
unit.1.5.port.-1.b.3.unsignedPrecision=0
unit.1.5.port.-1.b.3.unsignedScaleFactor=1.0
unit.1.5.port.-1.b.3.visible=1
unit.1.5.port.-1.b.4.alias=TEST_FSM_VALUE
unit.1.5.port.-1.b.4.channellist=0 1 2 3
unit.1.5.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.b.4.name=BUS_0
unit.1.5.port.-1.b.4.orderindex=132
unit.1.5.port.-1.b.4.radix=Hex
unit.1.5.port.-1.b.4.signedOffset=0.0
unit.1.5.port.-1.b.4.signedPrecision=0
unit.1.5.port.-1.b.4.signedScaleFactor=1.0
unit.1.5.port.-1.b.4.tokencount=0
unit.1.5.port.-1.b.4.unsignedOffset=0.0
unit.1.5.port.-1.b.4.unsignedPrecision=0
unit.1.5.port.-1.b.4.unsignedScaleFactor=1.0
unit.1.5.port.-1.b.4.visible=1
unit.1.5.port.-1.b.5.alias=TRIG7
unit.1.5.port.-1.b.5.channellist=124 125 126 127 128 129 130 131
unit.1.5.port.-1.b.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.b.5.name=BUS_7
unit.1.5.port.-1.b.5.orderindex=139
unit.1.5.port.-1.b.5.radix=Hex
unit.1.5.port.-1.b.5.signedOffset=0.0
unit.1.5.port.-1.b.5.signedPrecision=0
unit.1.5.port.-1.b.5.signedScaleFactor=1.0
unit.1.5.port.-1.b.5.tokencount=0
unit.1.5.port.-1.b.5.unsignedOffset=0.0
unit.1.5.port.-1.b.5.unsignedPrecision=0
unit.1.5.port.-1.b.5.unsignedScaleFactor=1.0
unit.1.5.port.-1.b.5.visible=1
unit.1.5.port.-1.b.6.alias=USER_DIN
unit.1.5.port.-1.b.6.channellist=4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35
unit.1.5.port.-1.b.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.b.6.name=BUS_1
unit.1.5.port.-1.b.6.orderindex=133
unit.1.5.port.-1.b.6.radix=Hex
unit.1.5.port.-1.b.6.signedOffset=0.0
unit.1.5.port.-1.b.6.signedPrecision=0
unit.1.5.port.-1.b.6.signedScaleFactor=1.0
unit.1.5.port.-1.b.6.tokencount=0
unit.1.5.port.-1.b.6.unsignedOffset=0.0
unit.1.5.port.-1.b.6.unsignedPrecision=0
unit.1.5.port.-1.b.6.unsignedScaleFactor=1.0
unit.1.5.port.-1.b.6.visible=1
unit.1.5.port.-1.b.7.alias=USER_FIFO_DOUT
unit.1.5.port.-1.b.7.channellist=36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67
unit.1.5.port.-1.b.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.b.7.name=BUS_2
unit.1.5.port.-1.b.7.orderindex=134
unit.1.5.port.-1.b.7.radix=Hex
unit.1.5.port.-1.b.7.signedOffset=0.0
unit.1.5.port.-1.b.7.signedPrecision=0
unit.1.5.port.-1.b.7.signedScaleFactor=1.0
unit.1.5.port.-1.b.7.tokencount=0
unit.1.5.port.-1.b.7.unsignedOffset=0.0
unit.1.5.port.-1.b.7.unsignedPrecision=0
unit.1.5.port.-1.b.7.unsignedScaleFactor=1.0
unit.1.5.port.-1.b.7.visible=1
unit.1.5.port.-1.buscount=8
unit.1.5.port.-1.channelcount=140
unit.1.5.port.-1.s.0.alias=
unit.1.5.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.0.name=TEST_FSM_VALUE[0]
unit.1.5.port.-1.s.0.orderindex=-1
unit.1.5.port.-1.s.0.visible=1
unit.1.5.port.-1.s.1.alias=
unit.1.5.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.1.name=TEST_FSM_VALUE[1]
unit.1.5.port.-1.s.1.orderindex=-1
unit.1.5.port.-1.s.1.visible=1
unit.1.5.port.-1.s.10.alias=
unit.1.5.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.10.name=USER_DIN[6]
unit.1.5.port.-1.s.10.orderindex=-1
unit.1.5.port.-1.s.10.visible=1
unit.1.5.port.-1.s.100.alias=
unit.1.5.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.100.name=COMMAND_COUNT[0]
unit.1.5.port.-1.s.100.orderindex=-1
unit.1.5.port.-1.s.100.visible=1
unit.1.5.port.-1.s.101.alias=
unit.1.5.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.101.name=COMMAND_COUNT[1]
unit.1.5.port.-1.s.101.orderindex=-1
unit.1.5.port.-1.s.101.visible=1
unit.1.5.port.-1.s.102.alias=
unit.1.5.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.102.name=COMMAND_COUNT[2]
unit.1.5.port.-1.s.102.orderindex=-1
unit.1.5.port.-1.s.102.visible=1
unit.1.5.port.-1.s.103.alias=
unit.1.5.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.103.name=COMMAND_COUNT[3]
unit.1.5.port.-1.s.103.orderindex=-1
unit.1.5.port.-1.s.103.visible=1
unit.1.5.port.-1.s.104.alias=
unit.1.5.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.104.name=COMMAND_COUNT[4]
unit.1.5.port.-1.s.104.orderindex=-1
unit.1.5.port.-1.s.104.visible=1
unit.1.5.port.-1.s.105.alias=
unit.1.5.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.105.name=COMMAND_COUNT[5]
unit.1.5.port.-1.s.105.orderindex=-1
unit.1.5.port.-1.s.105.visible=1
unit.1.5.port.-1.s.106.alias=Bus2IP_WrCE(4)
unit.1.5.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.106.name=COMMAND_COUNT[6]
unit.1.5.port.-1.s.106.orderindex=-1
unit.1.5.port.-1.s.106.visible=1
unit.1.5.port.-1.s.107.alias=
unit.1.5.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.107.name=COMMAND_COUNT[7]
unit.1.5.port.-1.s.107.orderindex=-1
unit.1.5.port.-1.s.107.visible=1
unit.1.5.port.-1.s.108.alias=DataPort[108]
unit.1.5.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.108.name=QUEUE_DEPTH[0]
unit.1.5.port.-1.s.108.orderindex=-1
unit.1.5.port.-1.s.108.visible=1
unit.1.5.port.-1.s.109.alias=DataPort[109]
unit.1.5.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.109.name=QUEUE_DEPTH[1]
unit.1.5.port.-1.s.109.orderindex=-1
unit.1.5.port.-1.s.109.visible=1
unit.1.5.port.-1.s.11.alias=
unit.1.5.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.11.name=USER_DIN[7]
unit.1.5.port.-1.s.11.orderindex=-1
unit.1.5.port.-1.s.11.visible=1
unit.1.5.port.-1.s.110.alias=DataPort[110]
unit.1.5.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.110.name=QUEUE_DEPTH[2]
unit.1.5.port.-1.s.110.orderindex=-1
unit.1.5.port.-1.s.110.visible=1
unit.1.5.port.-1.s.111.alias=DataPort[111]
unit.1.5.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.111.name=QUEUE_DEPTH[3]
unit.1.5.port.-1.s.111.orderindex=-1
unit.1.5.port.-1.s.111.visible=1
unit.1.5.port.-1.s.112.alias=DataPort[112]
unit.1.5.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.112.name=QUEUE_DEPTH[4]
unit.1.5.port.-1.s.112.orderindex=-1
unit.1.5.port.-1.s.112.visible=1
unit.1.5.port.-1.s.113.alias=DataPort[113]
unit.1.5.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.113.name=QUEUE_DEPTH[5]
unit.1.5.port.-1.s.113.orderindex=-1
unit.1.5.port.-1.s.113.visible=1
unit.1.5.port.-1.s.114.alias=DataPort[114]
unit.1.5.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.114.name=QUEUE_DEPTH[6]
unit.1.5.port.-1.s.114.orderindex=-1
unit.1.5.port.-1.s.114.visible=1
unit.1.5.port.-1.s.115.alias=DataPort[115]
unit.1.5.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.115.name=QUEUE_DEPTH[7]
unit.1.5.port.-1.s.115.orderindex=-1
unit.1.5.port.-1.s.115.visible=1
unit.1.5.port.-1.s.116.alias=
unit.1.5.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.116.name=QUEUE_DEPTH_REM[0]
unit.1.5.port.-1.s.116.orderindex=-1
unit.1.5.port.-1.s.116.visible=1
unit.1.5.port.-1.s.117.alias=
unit.1.5.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.117.name=QUEUE_DEPTH_REM[1]
unit.1.5.port.-1.s.117.orderindex=-1
unit.1.5.port.-1.s.117.visible=1
unit.1.5.port.-1.s.118.alias=
unit.1.5.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.118.name=QUEUE_DEPTH_REM[2]
unit.1.5.port.-1.s.118.orderindex=-1
unit.1.5.port.-1.s.118.visible=1
unit.1.5.port.-1.s.119.alias=
unit.1.5.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.119.name=QUEUE_DEPTH_REM[3]
unit.1.5.port.-1.s.119.orderindex=-1
unit.1.5.port.-1.s.119.visible=1
unit.1.5.port.-1.s.12.alias=
unit.1.5.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.12.name=USER_DIN[8]
unit.1.5.port.-1.s.12.orderindex=-1
unit.1.5.port.-1.s.12.visible=1
unit.1.5.port.-1.s.120.alias=
unit.1.5.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.120.name=QUEUE_DEPTH_REM[4]
unit.1.5.port.-1.s.120.orderindex=-1
unit.1.5.port.-1.s.120.visible=1
unit.1.5.port.-1.s.121.alias=
unit.1.5.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.121.name=QUEUE_DEPTH_REM[5]
unit.1.5.port.-1.s.121.orderindex=-1
unit.1.5.port.-1.s.121.visible=1
unit.1.5.port.-1.s.122.alias=
unit.1.5.port.-1.s.122.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.122.name=QUEUE_DEPTH_REM[6]
unit.1.5.port.-1.s.122.orderindex=-1
unit.1.5.port.-1.s.122.visible=1
unit.1.5.port.-1.s.123.alias=
unit.1.5.port.-1.s.123.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.123.name=QUEUE_DEPTH_REM[7]
unit.1.5.port.-1.s.123.orderindex=-1
unit.1.5.port.-1.s.123.visible=1
unit.1.5.port.-1.s.124.alias=NEW_CMD
unit.1.5.port.-1.s.124.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.124.name=DataPort[124]
unit.1.5.port.-1.s.124.orderindex=-1
unit.1.5.port.-1.s.124.visible=1
unit.1.5.port.-1.s.125.alias=USER_DIN_WE
unit.1.5.port.-1.s.125.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.125.name=DataPort[125]
unit.1.5.port.-1.s.125.orderindex=-1
unit.1.5.port.-1.s.125.visible=1
unit.1.5.port.-1.s.126.alias=rdy_for_cmd
unit.1.5.port.-1.s.126.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.126.name=USER_DIN_RE
unit.1.5.port.-1.s.126.orderindex=-1
unit.1.5.port.-1.s.126.visible=1
unit.1.5.port.-1.s.127.alias=
unit.1.5.port.-1.s.127.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.127.name=ABORT_WRITE
unit.1.5.port.-1.s.127.orderindex=-1
unit.1.5.port.-1.s.127.visible=1
unit.1.5.port.-1.s.128.alias=DataPort[128]
unit.1.5.port.-1.s.128.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.128.name=DataPort[128]
unit.1.5.port.-1.s.128.orderindex=-1
unit.1.5.port.-1.s.128.visible=1
unit.1.5.port.-1.s.129.alias=DataPort[129]
unit.1.5.port.-1.s.129.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.129.name=DataPort[129]
unit.1.5.port.-1.s.129.orderindex=-1
unit.1.5.port.-1.s.129.visible=1
unit.1.5.port.-1.s.13.alias=
unit.1.5.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.13.name=USER_DIN[9]
unit.1.5.port.-1.s.13.orderindex=-1
unit.1.5.port.-1.s.13.visible=1
unit.1.5.port.-1.s.130.alias=
unit.1.5.port.-1.s.130.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.130.name=NEW_CMD_NCQ
unit.1.5.port.-1.s.130.orderindex=-1
unit.1.5.port.-1.s.130.visible=1
unit.1.5.port.-1.s.131.alias=USER_DOUT_RE
unit.1.5.port.-1.s.131.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.131.name=DataPort[131]
unit.1.5.port.-1.s.131.orderindex=-1
unit.1.5.port.-1.s.131.visible=1
unit.1.5.port.-1.s.132.alias=QUEUE_DEPTH[0]
unit.1.5.port.-1.s.132.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.132.name=DataPort[132]
unit.1.5.port.-1.s.132.orderindex=-1
unit.1.5.port.-1.s.132.visible=1
unit.1.5.port.-1.s.133.alias=QUEUE_DEPTH[1]
unit.1.5.port.-1.s.133.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.133.name=DataPort[133]
unit.1.5.port.-1.s.133.orderindex=-1
unit.1.5.port.-1.s.133.visible=1
unit.1.5.port.-1.s.134.alias=READY_FOR_CMD
unit.1.5.port.-1.s.134.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.134.name=DataPort[134]
unit.1.5.port.-1.s.134.orderindex=-1
unit.1.5.port.-1.s.134.visible=1
unit.1.5.port.-1.s.135.alias=QUEUE_DEPTH[3]
unit.1.5.port.-1.s.135.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.135.name=DataPort[135]
unit.1.5.port.-1.s.135.orderindex=-1
unit.1.5.port.-1.s.135.visible=1
unit.1.5.port.-1.s.136.alias=NCQ_CMD_DONE
unit.1.5.port.-1.s.136.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.136.name=DataPort[136]
unit.1.5.port.-1.s.136.orderindex=-1
unit.1.5.port.-1.s.136.visible=1
unit.1.5.port.-1.s.137.alias=USER_FIFO_PROG_FULL
unit.1.5.port.-1.s.137.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.137.name=DataPort[137]
unit.1.5.port.-1.s.137.orderindex=-1
unit.1.5.port.-1.s.137.visible=1
unit.1.5.port.-1.s.138.alias=QUEUE_DEPTH[6]
unit.1.5.port.-1.s.138.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.138.name=DataPort[138]
unit.1.5.port.-1.s.138.orderindex=-1
unit.1.5.port.-1.s.138.visible=1
unit.1.5.port.-1.s.139.alias=QUEUE_DEPTH[7]
unit.1.5.port.-1.s.139.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.139.name=DataPort[139]
unit.1.5.port.-1.s.139.orderindex=-1
unit.1.5.port.-1.s.139.visible=1
unit.1.5.port.-1.s.14.alias=
unit.1.5.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.14.name=USER_DIN[10]
unit.1.5.port.-1.s.14.orderindex=-1
unit.1.5.port.-1.s.14.visible=1
unit.1.5.port.-1.s.15.alias=
unit.1.5.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.15.name=USER_DIN[11]
unit.1.5.port.-1.s.15.orderindex=-1
unit.1.5.port.-1.s.15.visible=1
unit.1.5.port.-1.s.16.alias=
unit.1.5.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.16.name=USER_DIN[12]
unit.1.5.port.-1.s.16.orderindex=-1
unit.1.5.port.-1.s.16.visible=1
unit.1.5.port.-1.s.17.alias=
unit.1.5.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.17.name=USER_DIN[13]
unit.1.5.port.-1.s.17.orderindex=-1
unit.1.5.port.-1.s.17.visible=1
unit.1.5.port.-1.s.18.alias=
unit.1.5.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.18.name=USER_DIN[14]
unit.1.5.port.-1.s.18.orderindex=-1
unit.1.5.port.-1.s.18.visible=1
unit.1.5.port.-1.s.19.alias=
unit.1.5.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.19.name=USER_DIN[15]
unit.1.5.port.-1.s.19.orderindex=-1
unit.1.5.port.-1.s.19.visible=1
unit.1.5.port.-1.s.2.alias=
unit.1.5.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.2.name=TEST_FSM_VALUE[2]
unit.1.5.port.-1.s.2.orderindex=-1
unit.1.5.port.-1.s.2.visible=1
unit.1.5.port.-1.s.20.alias=
unit.1.5.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.20.name=USER_DIN[16]
unit.1.5.port.-1.s.20.orderindex=-1
unit.1.5.port.-1.s.20.visible=1
unit.1.5.port.-1.s.21.alias=
unit.1.5.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.21.name=USER_DIN[17]
unit.1.5.port.-1.s.21.orderindex=-1
unit.1.5.port.-1.s.21.visible=1
unit.1.5.port.-1.s.22.alias=
unit.1.5.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.22.name=USER_DIN[18]
unit.1.5.port.-1.s.22.orderindex=-1
unit.1.5.port.-1.s.22.visible=1
unit.1.5.port.-1.s.23.alias=
unit.1.5.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.23.name=USER_DIN[19]
unit.1.5.port.-1.s.23.orderindex=-1
unit.1.5.port.-1.s.23.visible=1
unit.1.5.port.-1.s.24.alias=
unit.1.5.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.24.name=USER_DIN[20]
unit.1.5.port.-1.s.24.orderindex=-1
unit.1.5.port.-1.s.24.visible=1
unit.1.5.port.-1.s.25.alias=
unit.1.5.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.25.name=USER_DIN[21]
unit.1.5.port.-1.s.25.orderindex=-1
unit.1.5.port.-1.s.25.visible=1
unit.1.5.port.-1.s.26.alias=
unit.1.5.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.26.name=USER_DIN[22]
unit.1.5.port.-1.s.26.orderindex=-1
unit.1.5.port.-1.s.26.visible=1
unit.1.5.port.-1.s.27.alias=
unit.1.5.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.27.name=USER_DIN[23]
unit.1.5.port.-1.s.27.orderindex=-1
unit.1.5.port.-1.s.27.visible=1
unit.1.5.port.-1.s.28.alias=
unit.1.5.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.28.name=USER_DIN[24]
unit.1.5.port.-1.s.28.orderindex=-1
unit.1.5.port.-1.s.28.visible=1
unit.1.5.port.-1.s.29.alias=
unit.1.5.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.29.name=USER_DIN[25]
unit.1.5.port.-1.s.29.orderindex=-1
unit.1.5.port.-1.s.29.visible=1
unit.1.5.port.-1.s.3.alias=
unit.1.5.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.3.name=TEST_FSM_VALUE[3]
unit.1.5.port.-1.s.3.orderindex=-1
unit.1.5.port.-1.s.3.visible=1
unit.1.5.port.-1.s.30.alias=
unit.1.5.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.30.name=USER_DIN[26]
unit.1.5.port.-1.s.30.orderindex=-1
unit.1.5.port.-1.s.30.visible=1
unit.1.5.port.-1.s.31.alias=
unit.1.5.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.31.name=USER_DIN[27]
unit.1.5.port.-1.s.31.orderindex=-1
unit.1.5.port.-1.s.31.visible=1
unit.1.5.port.-1.s.32.alias=
unit.1.5.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.32.name=USER_DIN[28]
unit.1.5.port.-1.s.32.orderindex=-1
unit.1.5.port.-1.s.32.visible=1
unit.1.5.port.-1.s.33.alias=
unit.1.5.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.33.name=USER_DIN[29]
unit.1.5.port.-1.s.33.orderindex=-1
unit.1.5.port.-1.s.33.visible=1
unit.1.5.port.-1.s.34.alias=
unit.1.5.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.34.name=USER_DIN[30]
unit.1.5.port.-1.s.34.orderindex=-1
unit.1.5.port.-1.s.34.visible=1
unit.1.5.port.-1.s.35.alias=
unit.1.5.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.35.name=USER_DIN[31]
unit.1.5.port.-1.s.35.orderindex=-1
unit.1.5.port.-1.s.35.visible=1
unit.1.5.port.-1.s.36.alias=
unit.1.5.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.36.name=USER_FIFO_DOUT[0]
unit.1.5.port.-1.s.36.orderindex=-1
unit.1.5.port.-1.s.36.visible=1
unit.1.5.port.-1.s.37.alias=
unit.1.5.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.37.name=USER_FIFO_DOUT[1]
unit.1.5.port.-1.s.37.orderindex=-1
unit.1.5.port.-1.s.37.visible=1
unit.1.5.port.-1.s.38.alias=
unit.1.5.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.38.name=USER_FIFO_DOUT[2]
unit.1.5.port.-1.s.38.orderindex=-1
unit.1.5.port.-1.s.38.visible=1
unit.1.5.port.-1.s.39.alias=
unit.1.5.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.39.name=USER_FIFO_DOUT[3]
unit.1.5.port.-1.s.39.orderindex=-1
unit.1.5.port.-1.s.39.visible=1
unit.1.5.port.-1.s.4.alias=
unit.1.5.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.4.name=USER_DIN[0]
unit.1.5.port.-1.s.4.orderindex=-1
unit.1.5.port.-1.s.4.visible=1
unit.1.5.port.-1.s.40.alias=
unit.1.5.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.40.name=USER_FIFO_DOUT[4]
unit.1.5.port.-1.s.40.orderindex=-1
unit.1.5.port.-1.s.40.visible=1
unit.1.5.port.-1.s.41.alias=
unit.1.5.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.41.name=USER_FIFO_DOUT[5]
unit.1.5.port.-1.s.41.orderindex=-1
unit.1.5.port.-1.s.41.visible=1
unit.1.5.port.-1.s.42.alias=
unit.1.5.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.42.name=USER_FIFO_DOUT[6]
unit.1.5.port.-1.s.42.orderindex=-1
unit.1.5.port.-1.s.42.visible=1
unit.1.5.port.-1.s.43.alias=
unit.1.5.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.43.name=USER_FIFO_DOUT[7]
unit.1.5.port.-1.s.43.orderindex=-1
unit.1.5.port.-1.s.43.visible=1
unit.1.5.port.-1.s.44.alias=
unit.1.5.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.44.name=USER_FIFO_DOUT[8]
unit.1.5.port.-1.s.44.orderindex=-1
unit.1.5.port.-1.s.44.visible=1
unit.1.5.port.-1.s.45.alias=
unit.1.5.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.45.name=USER_FIFO_DOUT[9]
unit.1.5.port.-1.s.45.orderindex=-1
unit.1.5.port.-1.s.45.visible=1
unit.1.5.port.-1.s.46.alias=
unit.1.5.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.46.name=USER_FIFO_DOUT[10]
unit.1.5.port.-1.s.46.orderindex=-1
unit.1.5.port.-1.s.46.visible=1
unit.1.5.port.-1.s.47.alias=
unit.1.5.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.47.name=USER_FIFO_DOUT[11]
unit.1.5.port.-1.s.47.orderindex=-1
unit.1.5.port.-1.s.47.visible=1
unit.1.5.port.-1.s.48.alias=
unit.1.5.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.48.name=USER_FIFO_DOUT[12]
unit.1.5.port.-1.s.48.orderindex=-1
unit.1.5.port.-1.s.48.visible=1
unit.1.5.port.-1.s.49.alias=
unit.1.5.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.49.name=USER_FIFO_DOUT[13]
unit.1.5.port.-1.s.49.orderindex=-1
unit.1.5.port.-1.s.49.visible=1
unit.1.5.port.-1.s.5.alias=
unit.1.5.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.5.name=USER_DIN[1]
unit.1.5.port.-1.s.5.orderindex=-1
unit.1.5.port.-1.s.5.visible=1
unit.1.5.port.-1.s.50.alias=
unit.1.5.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.50.name=USER_FIFO_DOUT[14]
unit.1.5.port.-1.s.50.orderindex=-1
unit.1.5.port.-1.s.50.visible=1
unit.1.5.port.-1.s.51.alias=
unit.1.5.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.51.name=USER_FIFO_DOUT[15]
unit.1.5.port.-1.s.51.orderindex=-1
unit.1.5.port.-1.s.51.visible=1
unit.1.5.port.-1.s.52.alias=
unit.1.5.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.52.name=USER_FIFO_DOUT[16]
unit.1.5.port.-1.s.52.orderindex=-1
unit.1.5.port.-1.s.52.visible=1
unit.1.5.port.-1.s.53.alias=
unit.1.5.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.53.name=USER_FIFO_DOUT[17]
unit.1.5.port.-1.s.53.orderindex=-1
unit.1.5.port.-1.s.53.visible=1
unit.1.5.port.-1.s.54.alias=
unit.1.5.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.54.name=USER_FIFO_DOUT[18]
unit.1.5.port.-1.s.54.orderindex=-1
unit.1.5.port.-1.s.54.visible=1
unit.1.5.port.-1.s.55.alias=
unit.1.5.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.55.name=USER_FIFO_DOUT[19]
unit.1.5.port.-1.s.55.orderindex=-1
unit.1.5.port.-1.s.55.visible=1
unit.1.5.port.-1.s.56.alias=
unit.1.5.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.56.name=USER_FIFO_DOUT[20]
unit.1.5.port.-1.s.56.orderindex=-1
unit.1.5.port.-1.s.56.visible=1
unit.1.5.port.-1.s.57.alias=
unit.1.5.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.57.name=USER_FIFO_DOUT[21]
unit.1.5.port.-1.s.57.orderindex=-1
unit.1.5.port.-1.s.57.visible=1
unit.1.5.port.-1.s.58.alias=
unit.1.5.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.58.name=USER_FIFO_DOUT[22]
unit.1.5.port.-1.s.58.orderindex=-1
unit.1.5.port.-1.s.58.visible=1
unit.1.5.port.-1.s.59.alias=
unit.1.5.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.59.name=USER_FIFO_DOUT[23]
unit.1.5.port.-1.s.59.orderindex=-1
unit.1.5.port.-1.s.59.visible=1
unit.1.5.port.-1.s.6.alias=
unit.1.5.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.6.name=USER_DIN[2]
unit.1.5.port.-1.s.6.orderindex=-1
unit.1.5.port.-1.s.6.visible=1
unit.1.5.port.-1.s.60.alias=
unit.1.5.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.60.name=USER_FIFO_DOUT[24]
unit.1.5.port.-1.s.60.orderindex=-1
unit.1.5.port.-1.s.60.visible=1
unit.1.5.port.-1.s.61.alias=
unit.1.5.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.61.name=USER_FIFO_DOUT[25]
unit.1.5.port.-1.s.61.orderindex=-1
unit.1.5.port.-1.s.61.visible=1
unit.1.5.port.-1.s.62.alias=
unit.1.5.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.62.name=USER_FIFO_DOUT[26]
unit.1.5.port.-1.s.62.orderindex=-1
unit.1.5.port.-1.s.62.visible=1
unit.1.5.port.-1.s.63.alias=
unit.1.5.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.63.name=USER_FIFO_DOUT[27]
unit.1.5.port.-1.s.63.orderindex=-1
unit.1.5.port.-1.s.63.visible=1
unit.1.5.port.-1.s.64.alias=
unit.1.5.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.64.name=USER_FIFO_DOUT[28]
unit.1.5.port.-1.s.64.orderindex=-1
unit.1.5.port.-1.s.64.visible=1
unit.1.5.port.-1.s.65.alias=
unit.1.5.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.65.name=USER_FIFO_DOUT[29]
unit.1.5.port.-1.s.65.orderindex=-1
unit.1.5.port.-1.s.65.visible=1
unit.1.5.port.-1.s.66.alias=
unit.1.5.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.66.name=USER_FIFO_DOUT[30]
unit.1.5.port.-1.s.66.orderindex=-1
unit.1.5.port.-1.s.66.visible=1
unit.1.5.port.-1.s.67.alias=
unit.1.5.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.67.name=USER_FIFO_DOUT[31]
unit.1.5.port.-1.s.67.orderindex=-1
unit.1.5.port.-1.s.67.visible=1
unit.1.5.port.-1.s.68.alias=
unit.1.5.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.68.name=USER_DATA_COUNT[0]
unit.1.5.port.-1.s.68.orderindex=-1
unit.1.5.port.-1.s.68.visible=1
unit.1.5.port.-1.s.69.alias=
unit.1.5.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.69.name=USER_DATA_COUNT[1]
unit.1.5.port.-1.s.69.orderindex=-1
unit.1.5.port.-1.s.69.visible=1
unit.1.5.port.-1.s.7.alias=
unit.1.5.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.7.name=USER_DIN[3]
unit.1.5.port.-1.s.7.orderindex=-1
unit.1.5.port.-1.s.7.visible=1
unit.1.5.port.-1.s.70.alias=
unit.1.5.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.70.name=USER_DATA_COUNT[2]
unit.1.5.port.-1.s.70.orderindex=-1
unit.1.5.port.-1.s.70.visible=1
unit.1.5.port.-1.s.71.alias=
unit.1.5.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.71.name=USER_DATA_COUNT[3]
unit.1.5.port.-1.s.71.orderindex=-1
unit.1.5.port.-1.s.71.visible=1
unit.1.5.port.-1.s.72.alias=
unit.1.5.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.72.name=USER_DATA_COUNT[4]
unit.1.5.port.-1.s.72.orderindex=-1
unit.1.5.port.-1.s.72.visible=1
unit.1.5.port.-1.s.73.alias=
unit.1.5.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.73.name=USER_DATA_COUNT[5]
unit.1.5.port.-1.s.73.orderindex=-1
unit.1.5.port.-1.s.73.visible=1
unit.1.5.port.-1.s.74.alias=
unit.1.5.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.74.name=USER_DATA_COUNT[6]
unit.1.5.port.-1.s.74.orderindex=-1
unit.1.5.port.-1.s.74.visible=1
unit.1.5.port.-1.s.75.alias=
unit.1.5.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.75.name=USER_DATA_COUNT[7]
unit.1.5.port.-1.s.75.orderindex=-1
unit.1.5.port.-1.s.75.visible=1
unit.1.5.port.-1.s.76.alias=
unit.1.5.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.76.name=USER_DATA_COUNT[8]
unit.1.5.port.-1.s.76.orderindex=-1
unit.1.5.port.-1.s.76.visible=1
unit.1.5.port.-1.s.77.alias=
unit.1.5.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.77.name=USER_DATA_COUNT[9]
unit.1.5.port.-1.s.77.orderindex=-1
unit.1.5.port.-1.s.77.visible=1
unit.1.5.port.-1.s.78.alias=
unit.1.5.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.78.name=USER_DATA_COUNT[10]
unit.1.5.port.-1.s.78.orderindex=-1
unit.1.5.port.-1.s.78.visible=1
unit.1.5.port.-1.s.79.alias=
unit.1.5.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.79.name=USER_DATA_COUNT[11]
unit.1.5.port.-1.s.79.orderindex=-1
unit.1.5.port.-1.s.79.visible=1
unit.1.5.port.-1.s.8.alias=
unit.1.5.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.8.name=USER_DIN[4]
unit.1.5.port.-1.s.8.orderindex=-1
unit.1.5.port.-1.s.8.visible=1
unit.1.5.port.-1.s.80.alias=
unit.1.5.port.-1.s.80.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.80.name=USER_DATA_COUNT[12]
unit.1.5.port.-1.s.80.orderindex=-1
unit.1.5.port.-1.s.80.visible=1
unit.1.5.port.-1.s.81.alias=
unit.1.5.port.-1.s.81.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.81.name=USER_DATA_COUNT[13]
unit.1.5.port.-1.s.81.orderindex=-1
unit.1.5.port.-1.s.81.visible=1
unit.1.5.port.-1.s.82.alias=
unit.1.5.port.-1.s.82.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.82.name=USER_DATA_COUNT[14]
unit.1.5.port.-1.s.82.orderindex=-1
unit.1.5.port.-1.s.82.visible=1
unit.1.5.port.-1.s.83.alias=
unit.1.5.port.-1.s.83.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.83.name=USER_DATA_COUNT[15]
unit.1.5.port.-1.s.83.orderindex=-1
unit.1.5.port.-1.s.83.visible=1
unit.1.5.port.-1.s.84.alias=
unit.1.5.port.-1.s.84.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.84.name=USER_DATA_COUNT[16]
unit.1.5.port.-1.s.84.orderindex=-1
unit.1.5.port.-1.s.84.visible=1
unit.1.5.port.-1.s.85.alias=
unit.1.5.port.-1.s.85.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.85.name=USER_DATA_COUNT[17]
unit.1.5.port.-1.s.85.orderindex=-1
unit.1.5.port.-1.s.85.visible=1
unit.1.5.port.-1.s.86.alias=
unit.1.5.port.-1.s.86.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.86.name=USER_DATA_COUNT[18]
unit.1.5.port.-1.s.86.orderindex=-1
unit.1.5.port.-1.s.86.visible=1
unit.1.5.port.-1.s.87.alias=
unit.1.5.port.-1.s.87.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.87.name=USER_DATA_COUNT[19]
unit.1.5.port.-1.s.87.orderindex=-1
unit.1.5.port.-1.s.87.visible=1
unit.1.5.port.-1.s.88.alias=
unit.1.5.port.-1.s.88.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.88.name=USER_DATA_COUNT[20]
unit.1.5.port.-1.s.88.orderindex=-1
unit.1.5.port.-1.s.88.visible=1
unit.1.5.port.-1.s.89.alias=
unit.1.5.port.-1.s.89.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.89.name=USER_DATA_COUNT[21]
unit.1.5.port.-1.s.89.orderindex=-1
unit.1.5.port.-1.s.89.visible=1
unit.1.5.port.-1.s.9.alias=
unit.1.5.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.9.name=USER_DIN[5]
unit.1.5.port.-1.s.9.orderindex=-1
unit.1.5.port.-1.s.9.visible=1
unit.1.5.port.-1.s.90.alias=
unit.1.5.port.-1.s.90.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.90.name=USER_DATA_COUNT[22]
unit.1.5.port.-1.s.90.orderindex=-1
unit.1.5.port.-1.s.90.visible=1
unit.1.5.port.-1.s.91.alias=
unit.1.5.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.91.name=USER_DATA_COUNT[23]
unit.1.5.port.-1.s.91.orderindex=-1
unit.1.5.port.-1.s.91.visible=1
unit.1.5.port.-1.s.92.alias=
unit.1.5.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.92.name=USER_DATA_COUNT[24]
unit.1.5.port.-1.s.92.orderindex=-1
unit.1.5.port.-1.s.92.visible=1
unit.1.5.port.-1.s.93.alias=
unit.1.5.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.93.name=USER_DATA_COUNT[25]
unit.1.5.port.-1.s.93.orderindex=-1
unit.1.5.port.-1.s.93.visible=1
unit.1.5.port.-1.s.94.alias=
unit.1.5.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.94.name=USER_DATA_COUNT[26]
unit.1.5.port.-1.s.94.orderindex=-1
unit.1.5.port.-1.s.94.visible=1
unit.1.5.port.-1.s.95.alias=
unit.1.5.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.95.name=USER_DATA_COUNT[27]
unit.1.5.port.-1.s.95.orderindex=-1
unit.1.5.port.-1.s.95.visible=1
unit.1.5.port.-1.s.96.alias=
unit.1.5.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.96.name=USER_DATA_COUNT[28]
unit.1.5.port.-1.s.96.orderindex=-1
unit.1.5.port.-1.s.96.visible=1
unit.1.5.port.-1.s.97.alias=
unit.1.5.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.97.name=USER_DATA_COUNT[29]
unit.1.5.port.-1.s.97.orderindex=-1
unit.1.5.port.-1.s.97.visible=1
unit.1.5.port.-1.s.98.alias=
unit.1.5.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.98.name=USER_DATA_COUNT[30]
unit.1.5.port.-1.s.98.orderindex=-1
unit.1.5.port.-1.s.98.visible=1
unit.1.5.port.-1.s.99.alias=
unit.1.5.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.-1.s.99.name=USER_DATA_COUNT[31]
unit.1.5.port.-1.s.99.orderindex=-1
unit.1.5.port.-1.s.99.visible=1
unit.1.5.port.0.b.0.alias=
unit.1.5.port.0.b.0.channellist=0 1 2 3
unit.1.5.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.0.b.0.name=TEST_FSM_VALUE
unit.1.5.port.0.b.0.orderindex=-1
unit.1.5.port.0.b.0.radix=Hex
unit.1.5.port.0.b.0.signedOffset=0.0
unit.1.5.port.0.b.0.signedPrecision=0
unit.1.5.port.0.b.0.signedScaleFactor=1.0
unit.1.5.port.0.b.0.unsignedOffset=0.0
unit.1.5.port.0.b.0.unsignedPrecision=0
unit.1.5.port.0.b.0.unsignedScaleFactor=1.0
unit.1.5.port.0.b.0.visible=1
unit.1.5.port.0.buscount=1
unit.1.5.port.0.channelcount=4
unit.1.5.port.0.s.0.alias=
unit.1.5.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.0.s.0.name=TEST_FSM_VALUE[0]
unit.1.5.port.0.s.0.orderindex=-1
unit.1.5.port.0.s.0.visible=1
unit.1.5.port.0.s.1.alias=
unit.1.5.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.0.s.1.name=TEST_FSM_VALUE[1]
unit.1.5.port.0.s.1.orderindex=-1
unit.1.5.port.0.s.1.visible=1
unit.1.5.port.0.s.2.alias=
unit.1.5.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.0.s.2.name=TEST_FSM_VALUE[2]
unit.1.5.port.0.s.2.orderindex=-1
unit.1.5.port.0.s.2.visible=1
unit.1.5.port.0.s.3.alias=
unit.1.5.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.0.s.3.name=TEST_FSM_VALUE[3]
unit.1.5.port.0.s.3.orderindex=-1
unit.1.5.port.0.s.3.visible=1
unit.1.5.port.1.b.0.alias=
unit.1.5.port.1.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.5.port.1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.b.0.name=USER_DIN
unit.1.5.port.1.b.0.orderindex=-1
unit.1.5.port.1.b.0.radix=Hex
unit.1.5.port.1.b.0.signedOffset=0.0
unit.1.5.port.1.b.0.signedPrecision=0
unit.1.5.port.1.b.0.signedScaleFactor=1.0
unit.1.5.port.1.b.0.unsignedOffset=0.0
unit.1.5.port.1.b.0.unsignedPrecision=0
unit.1.5.port.1.b.0.unsignedScaleFactor=1.0
unit.1.5.port.1.b.0.visible=1
unit.1.5.port.1.buscount=1
unit.1.5.port.1.channelcount=32
unit.1.5.port.1.s.0.alias=
unit.1.5.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.0.name=USER_DIN[0]
unit.1.5.port.1.s.0.orderindex=-1
unit.1.5.port.1.s.0.visible=1
unit.1.5.port.1.s.1.alias=
unit.1.5.port.1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.1.name=USER_DIN[1]
unit.1.5.port.1.s.1.orderindex=-1
unit.1.5.port.1.s.1.visible=1
unit.1.5.port.1.s.10.alias=
unit.1.5.port.1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.10.name=USER_DIN[10]
unit.1.5.port.1.s.10.orderindex=-1
unit.1.5.port.1.s.10.visible=1
unit.1.5.port.1.s.11.alias=
unit.1.5.port.1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.11.name=USER_DIN[11]
unit.1.5.port.1.s.11.orderindex=-1
unit.1.5.port.1.s.11.visible=1
unit.1.5.port.1.s.12.alias=
unit.1.5.port.1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.12.name=USER_DIN[12]
unit.1.5.port.1.s.12.orderindex=-1
unit.1.5.port.1.s.12.visible=1
unit.1.5.port.1.s.13.alias=
unit.1.5.port.1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.13.name=USER_DIN[13]
unit.1.5.port.1.s.13.orderindex=-1
unit.1.5.port.1.s.13.visible=1
unit.1.5.port.1.s.14.alias=
unit.1.5.port.1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.14.name=USER_DIN[14]
unit.1.5.port.1.s.14.orderindex=-1
unit.1.5.port.1.s.14.visible=1
unit.1.5.port.1.s.15.alias=
unit.1.5.port.1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.15.name=USER_DIN[15]
unit.1.5.port.1.s.15.orderindex=-1
unit.1.5.port.1.s.15.visible=1
unit.1.5.port.1.s.16.alias=
unit.1.5.port.1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.16.name=USER_DIN[16]
unit.1.5.port.1.s.16.orderindex=-1
unit.1.5.port.1.s.16.visible=1
unit.1.5.port.1.s.17.alias=
unit.1.5.port.1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.17.name=USER_DIN[17]
unit.1.5.port.1.s.17.orderindex=-1
unit.1.5.port.1.s.17.visible=1
unit.1.5.port.1.s.18.alias=
unit.1.5.port.1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.18.name=USER_DIN[18]
unit.1.5.port.1.s.18.orderindex=-1
unit.1.5.port.1.s.18.visible=1
unit.1.5.port.1.s.19.alias=
unit.1.5.port.1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.19.name=USER_DIN[19]
unit.1.5.port.1.s.19.orderindex=-1
unit.1.5.port.1.s.19.visible=1
unit.1.5.port.1.s.2.alias=
unit.1.5.port.1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.2.name=USER_DIN[2]
unit.1.5.port.1.s.2.orderindex=-1
unit.1.5.port.1.s.2.visible=1
unit.1.5.port.1.s.20.alias=
unit.1.5.port.1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.20.name=USER_DIN[20]
unit.1.5.port.1.s.20.orderindex=-1
unit.1.5.port.1.s.20.visible=1
unit.1.5.port.1.s.21.alias=
unit.1.5.port.1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.21.name=USER_DIN[21]
unit.1.5.port.1.s.21.orderindex=-1
unit.1.5.port.1.s.21.visible=1
unit.1.5.port.1.s.22.alias=
unit.1.5.port.1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.22.name=USER_DIN[22]
unit.1.5.port.1.s.22.orderindex=-1
unit.1.5.port.1.s.22.visible=1
unit.1.5.port.1.s.23.alias=
unit.1.5.port.1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.23.name=USER_DIN[23]
unit.1.5.port.1.s.23.orderindex=-1
unit.1.5.port.1.s.23.visible=1
unit.1.5.port.1.s.24.alias=
unit.1.5.port.1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.24.name=USER_DIN[24]
unit.1.5.port.1.s.24.orderindex=-1
unit.1.5.port.1.s.24.visible=1
unit.1.5.port.1.s.25.alias=
unit.1.5.port.1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.25.name=USER_DIN[25]
unit.1.5.port.1.s.25.orderindex=-1
unit.1.5.port.1.s.25.visible=1
unit.1.5.port.1.s.26.alias=
unit.1.5.port.1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.26.name=USER_DIN[26]
unit.1.5.port.1.s.26.orderindex=-1
unit.1.5.port.1.s.26.visible=1
unit.1.5.port.1.s.27.alias=
unit.1.5.port.1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.27.name=USER_DIN[27]
unit.1.5.port.1.s.27.orderindex=-1
unit.1.5.port.1.s.27.visible=1
unit.1.5.port.1.s.28.alias=
unit.1.5.port.1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.28.name=USER_DIN[28]
unit.1.5.port.1.s.28.orderindex=-1
unit.1.5.port.1.s.28.visible=1
unit.1.5.port.1.s.29.alias=
unit.1.5.port.1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.29.name=USER_DIN[29]
unit.1.5.port.1.s.29.orderindex=-1
unit.1.5.port.1.s.29.visible=1
unit.1.5.port.1.s.3.alias=
unit.1.5.port.1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.3.name=USER_DIN[3]
unit.1.5.port.1.s.3.orderindex=-1
unit.1.5.port.1.s.3.visible=1
unit.1.5.port.1.s.30.alias=
unit.1.5.port.1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.30.name=USER_DIN[30]
unit.1.5.port.1.s.30.orderindex=-1
unit.1.5.port.1.s.30.visible=1
unit.1.5.port.1.s.31.alias=
unit.1.5.port.1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.31.name=USER_DIN[31]
unit.1.5.port.1.s.31.orderindex=-1
unit.1.5.port.1.s.31.visible=1
unit.1.5.port.1.s.4.alias=
unit.1.5.port.1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.4.name=USER_DIN[4]
unit.1.5.port.1.s.4.orderindex=-1
unit.1.5.port.1.s.4.visible=1
unit.1.5.port.1.s.5.alias=
unit.1.5.port.1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.5.name=USER_DIN[5]
unit.1.5.port.1.s.5.orderindex=-1
unit.1.5.port.1.s.5.visible=1
unit.1.5.port.1.s.6.alias=
unit.1.5.port.1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.6.name=USER_DIN[6]
unit.1.5.port.1.s.6.orderindex=-1
unit.1.5.port.1.s.6.visible=1
unit.1.5.port.1.s.7.alias=
unit.1.5.port.1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.7.name=USER_DIN[7]
unit.1.5.port.1.s.7.orderindex=-1
unit.1.5.port.1.s.7.visible=1
unit.1.5.port.1.s.8.alias=
unit.1.5.port.1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.8.name=USER_DIN[8]
unit.1.5.port.1.s.8.orderindex=-1
unit.1.5.port.1.s.8.visible=1
unit.1.5.port.1.s.9.alias=
unit.1.5.port.1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.1.s.9.name=USER_DIN[9]
unit.1.5.port.1.s.9.orderindex=-1
unit.1.5.port.1.s.9.visible=1
unit.1.5.port.2.b.0.alias=
unit.1.5.port.2.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.5.port.2.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.b.0.name=USER_FIFO_DOUT
unit.1.5.port.2.b.0.orderindex=-1
unit.1.5.port.2.b.0.radix=Hex
unit.1.5.port.2.b.0.signedOffset=0.0
unit.1.5.port.2.b.0.signedPrecision=0
unit.1.5.port.2.b.0.signedScaleFactor=1.0
unit.1.5.port.2.b.0.unsignedOffset=0.0
unit.1.5.port.2.b.0.unsignedPrecision=0
unit.1.5.port.2.b.0.unsignedScaleFactor=1.0
unit.1.5.port.2.b.0.visible=1
unit.1.5.port.2.buscount=1
unit.1.5.port.2.channelcount=32
unit.1.5.port.2.s.0.alias=
unit.1.5.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.0.name=USER_FIFO_DOUT[0]
unit.1.5.port.2.s.0.orderindex=-1
unit.1.5.port.2.s.0.visible=1
unit.1.5.port.2.s.1.alias=
unit.1.5.port.2.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.1.name=USER_FIFO_DOUT[1]
unit.1.5.port.2.s.1.orderindex=-1
unit.1.5.port.2.s.1.visible=1
unit.1.5.port.2.s.10.alias=
unit.1.5.port.2.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.10.name=USER_FIFO_DOUT[10]
unit.1.5.port.2.s.10.orderindex=-1
unit.1.5.port.2.s.10.visible=1
unit.1.5.port.2.s.11.alias=
unit.1.5.port.2.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.11.name=USER_FIFO_DOUT[11]
unit.1.5.port.2.s.11.orderindex=-1
unit.1.5.port.2.s.11.visible=1
unit.1.5.port.2.s.12.alias=
unit.1.5.port.2.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.12.name=USER_FIFO_DOUT[12]
unit.1.5.port.2.s.12.orderindex=-1
unit.1.5.port.2.s.12.visible=1
unit.1.5.port.2.s.13.alias=
unit.1.5.port.2.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.13.name=USER_FIFO_DOUT[13]
unit.1.5.port.2.s.13.orderindex=-1
unit.1.5.port.2.s.13.visible=1
unit.1.5.port.2.s.14.alias=
unit.1.5.port.2.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.14.name=USER_FIFO_DOUT[14]
unit.1.5.port.2.s.14.orderindex=-1
unit.1.5.port.2.s.14.visible=1
unit.1.5.port.2.s.15.alias=
unit.1.5.port.2.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.15.name=USER_FIFO_DOUT[15]
unit.1.5.port.2.s.15.orderindex=-1
unit.1.5.port.2.s.15.visible=1
unit.1.5.port.2.s.16.alias=
unit.1.5.port.2.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.16.name=USER_FIFO_DOUT[16]
unit.1.5.port.2.s.16.orderindex=-1
unit.1.5.port.2.s.16.visible=1
unit.1.5.port.2.s.17.alias=
unit.1.5.port.2.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.17.name=USER_FIFO_DOUT[17]
unit.1.5.port.2.s.17.orderindex=-1
unit.1.5.port.2.s.17.visible=1
unit.1.5.port.2.s.18.alias=
unit.1.5.port.2.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.18.name=USER_FIFO_DOUT[18]
unit.1.5.port.2.s.18.orderindex=-1
unit.1.5.port.2.s.18.visible=1
unit.1.5.port.2.s.19.alias=
unit.1.5.port.2.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.19.name=USER_FIFO_DOUT[19]
unit.1.5.port.2.s.19.orderindex=-1
unit.1.5.port.2.s.19.visible=1
unit.1.5.port.2.s.2.alias=
unit.1.5.port.2.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.2.name=USER_FIFO_DOUT[2]
unit.1.5.port.2.s.2.orderindex=-1
unit.1.5.port.2.s.2.visible=1
unit.1.5.port.2.s.20.alias=
unit.1.5.port.2.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.20.name=USER_FIFO_DOUT[20]
unit.1.5.port.2.s.20.orderindex=-1
unit.1.5.port.2.s.20.visible=1
unit.1.5.port.2.s.21.alias=
unit.1.5.port.2.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.21.name=USER_FIFO_DOUT[21]
unit.1.5.port.2.s.21.orderindex=-1
unit.1.5.port.2.s.21.visible=1
unit.1.5.port.2.s.22.alias=
unit.1.5.port.2.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.22.name=USER_FIFO_DOUT[22]
unit.1.5.port.2.s.22.orderindex=-1
unit.1.5.port.2.s.22.visible=1
unit.1.5.port.2.s.23.alias=
unit.1.5.port.2.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.23.name=USER_FIFO_DOUT[23]
unit.1.5.port.2.s.23.orderindex=-1
unit.1.5.port.2.s.23.visible=1
unit.1.5.port.2.s.24.alias=
unit.1.5.port.2.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.24.name=USER_FIFO_DOUT[24]
unit.1.5.port.2.s.24.orderindex=-1
unit.1.5.port.2.s.24.visible=1
unit.1.5.port.2.s.25.alias=
unit.1.5.port.2.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.25.name=USER_FIFO_DOUT[25]
unit.1.5.port.2.s.25.orderindex=-1
unit.1.5.port.2.s.25.visible=1
unit.1.5.port.2.s.26.alias=
unit.1.5.port.2.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.26.name=USER_FIFO_DOUT[26]
unit.1.5.port.2.s.26.orderindex=-1
unit.1.5.port.2.s.26.visible=1
unit.1.5.port.2.s.27.alias=
unit.1.5.port.2.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.27.name=USER_FIFO_DOUT[27]
unit.1.5.port.2.s.27.orderindex=-1
unit.1.5.port.2.s.27.visible=1
unit.1.5.port.2.s.28.alias=
unit.1.5.port.2.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.28.name=USER_FIFO_DOUT[28]
unit.1.5.port.2.s.28.orderindex=-1
unit.1.5.port.2.s.28.visible=1
unit.1.5.port.2.s.29.alias=
unit.1.5.port.2.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.29.name=USER_FIFO_DOUT[29]
unit.1.5.port.2.s.29.orderindex=-1
unit.1.5.port.2.s.29.visible=1
unit.1.5.port.2.s.3.alias=
unit.1.5.port.2.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.3.name=USER_FIFO_DOUT[3]
unit.1.5.port.2.s.3.orderindex=-1
unit.1.5.port.2.s.3.visible=1
unit.1.5.port.2.s.30.alias=
unit.1.5.port.2.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.30.name=USER_FIFO_DOUT[30]
unit.1.5.port.2.s.30.orderindex=-1
unit.1.5.port.2.s.30.visible=1
unit.1.5.port.2.s.31.alias=
unit.1.5.port.2.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.31.name=USER_FIFO_DOUT[31]
unit.1.5.port.2.s.31.orderindex=-1
unit.1.5.port.2.s.31.visible=1
unit.1.5.port.2.s.4.alias=
unit.1.5.port.2.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.4.name=USER_FIFO_DOUT[4]
unit.1.5.port.2.s.4.orderindex=-1
unit.1.5.port.2.s.4.visible=1
unit.1.5.port.2.s.5.alias=
unit.1.5.port.2.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.5.name=USER_FIFO_DOUT[5]
unit.1.5.port.2.s.5.orderindex=-1
unit.1.5.port.2.s.5.visible=1
unit.1.5.port.2.s.6.alias=
unit.1.5.port.2.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.6.name=USER_FIFO_DOUT[6]
unit.1.5.port.2.s.6.orderindex=-1
unit.1.5.port.2.s.6.visible=1
unit.1.5.port.2.s.7.alias=
unit.1.5.port.2.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.7.name=USER_FIFO_DOUT[7]
unit.1.5.port.2.s.7.orderindex=-1
unit.1.5.port.2.s.7.visible=1
unit.1.5.port.2.s.8.alias=
unit.1.5.port.2.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.8.name=USER_FIFO_DOUT[8]
unit.1.5.port.2.s.8.orderindex=-1
unit.1.5.port.2.s.8.visible=1
unit.1.5.port.2.s.9.alias=
unit.1.5.port.2.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.2.s.9.name=USER_FIFO_DOUT[9]
unit.1.5.port.2.s.9.orderindex=-1
unit.1.5.port.2.s.9.visible=1
unit.1.5.port.3.b.0.alias=
unit.1.5.port.3.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.5.port.3.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.b.0.name=USER_DATA_COUNT
unit.1.5.port.3.b.0.orderindex=-1
unit.1.5.port.3.b.0.radix=Hex
unit.1.5.port.3.b.0.signedOffset=0.0
unit.1.5.port.3.b.0.signedPrecision=0
unit.1.5.port.3.b.0.signedScaleFactor=1.0
unit.1.5.port.3.b.0.unsignedOffset=0.0
unit.1.5.port.3.b.0.unsignedPrecision=0
unit.1.5.port.3.b.0.unsignedScaleFactor=1.0
unit.1.5.port.3.b.0.visible=1
unit.1.5.port.3.buscount=1
unit.1.5.port.3.channelcount=32
unit.1.5.port.3.s.0.alias=
unit.1.5.port.3.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.0.name=USER_DATA_COUNT[0]
unit.1.5.port.3.s.0.orderindex=-1
unit.1.5.port.3.s.0.visible=1
unit.1.5.port.3.s.1.alias=
unit.1.5.port.3.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.1.name=USER_DATA_COUNT[1]
unit.1.5.port.3.s.1.orderindex=-1
unit.1.5.port.3.s.1.visible=1
unit.1.5.port.3.s.10.alias=
unit.1.5.port.3.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.10.name=USER_DATA_COUNT[10]
unit.1.5.port.3.s.10.orderindex=-1
unit.1.5.port.3.s.10.visible=1
unit.1.5.port.3.s.11.alias=
unit.1.5.port.3.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.11.name=USER_DATA_COUNT[11]
unit.1.5.port.3.s.11.orderindex=-1
unit.1.5.port.3.s.11.visible=1
unit.1.5.port.3.s.12.alias=
unit.1.5.port.3.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.12.name=USER_DATA_COUNT[12]
unit.1.5.port.3.s.12.orderindex=-1
unit.1.5.port.3.s.12.visible=1
unit.1.5.port.3.s.13.alias=
unit.1.5.port.3.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.13.name=USER_DATA_COUNT[13]
unit.1.5.port.3.s.13.orderindex=-1
unit.1.5.port.3.s.13.visible=1
unit.1.5.port.3.s.14.alias=
unit.1.5.port.3.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.14.name=USER_DATA_COUNT[14]
unit.1.5.port.3.s.14.orderindex=-1
unit.1.5.port.3.s.14.visible=1
unit.1.5.port.3.s.15.alias=
unit.1.5.port.3.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.15.name=USER_DATA_COUNT[15]
unit.1.5.port.3.s.15.orderindex=-1
unit.1.5.port.3.s.15.visible=1
unit.1.5.port.3.s.16.alias=
unit.1.5.port.3.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.16.name=USER_DATA_COUNT[16]
unit.1.5.port.3.s.16.orderindex=-1
unit.1.5.port.3.s.16.visible=1
unit.1.5.port.3.s.17.alias=
unit.1.5.port.3.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.17.name=USER_DATA_COUNT[17]
unit.1.5.port.3.s.17.orderindex=-1
unit.1.5.port.3.s.17.visible=1
unit.1.5.port.3.s.18.alias=
unit.1.5.port.3.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.18.name=USER_DATA_COUNT[18]
unit.1.5.port.3.s.18.orderindex=-1
unit.1.5.port.3.s.18.visible=1
unit.1.5.port.3.s.19.alias=
unit.1.5.port.3.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.19.name=USER_DATA_COUNT[19]
unit.1.5.port.3.s.19.orderindex=-1
unit.1.5.port.3.s.19.visible=1
unit.1.5.port.3.s.2.alias=
unit.1.5.port.3.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.2.name=USER_DATA_COUNT[2]
unit.1.5.port.3.s.2.orderindex=-1
unit.1.5.port.3.s.2.visible=1
unit.1.5.port.3.s.20.alias=
unit.1.5.port.3.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.20.name=USER_DATA_COUNT[20]
unit.1.5.port.3.s.20.orderindex=-1
unit.1.5.port.3.s.20.visible=1
unit.1.5.port.3.s.21.alias=
unit.1.5.port.3.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.21.name=USER_DATA_COUNT[21]
unit.1.5.port.3.s.21.orderindex=-1
unit.1.5.port.3.s.21.visible=1
unit.1.5.port.3.s.22.alias=
unit.1.5.port.3.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.22.name=USER_DATA_COUNT[22]
unit.1.5.port.3.s.22.orderindex=-1
unit.1.5.port.3.s.22.visible=1
unit.1.5.port.3.s.23.alias=
unit.1.5.port.3.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.23.name=USER_DATA_COUNT[23]
unit.1.5.port.3.s.23.orderindex=-1
unit.1.5.port.3.s.23.visible=1
unit.1.5.port.3.s.24.alias=
unit.1.5.port.3.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.24.name=USER_DATA_COUNT[24]
unit.1.5.port.3.s.24.orderindex=-1
unit.1.5.port.3.s.24.visible=1
unit.1.5.port.3.s.25.alias=
unit.1.5.port.3.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.25.name=USER_DATA_COUNT[25]
unit.1.5.port.3.s.25.orderindex=-1
unit.1.5.port.3.s.25.visible=1
unit.1.5.port.3.s.26.alias=
unit.1.5.port.3.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.26.name=USER_DATA_COUNT[26]
unit.1.5.port.3.s.26.orderindex=-1
unit.1.5.port.3.s.26.visible=1
unit.1.5.port.3.s.27.alias=
unit.1.5.port.3.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.27.name=USER_DATA_COUNT[27]
unit.1.5.port.3.s.27.orderindex=-1
unit.1.5.port.3.s.27.visible=1
unit.1.5.port.3.s.28.alias=
unit.1.5.port.3.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.28.name=USER_DATA_COUNT[28]
unit.1.5.port.3.s.28.orderindex=-1
unit.1.5.port.3.s.28.visible=1
unit.1.5.port.3.s.29.alias=
unit.1.5.port.3.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.29.name=USER_DATA_COUNT[29]
unit.1.5.port.3.s.29.orderindex=-1
unit.1.5.port.3.s.29.visible=1
unit.1.5.port.3.s.3.alias=
unit.1.5.port.3.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.3.name=USER_DATA_COUNT[3]
unit.1.5.port.3.s.3.orderindex=-1
unit.1.5.port.3.s.3.visible=1
unit.1.5.port.3.s.30.alias=
unit.1.5.port.3.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.30.name=USER_DATA_COUNT[30]
unit.1.5.port.3.s.30.orderindex=-1
unit.1.5.port.3.s.30.visible=1
unit.1.5.port.3.s.31.alias=
unit.1.5.port.3.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.31.name=USER_DATA_COUNT[31]
unit.1.5.port.3.s.31.orderindex=-1
unit.1.5.port.3.s.31.visible=1
unit.1.5.port.3.s.4.alias=
unit.1.5.port.3.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.4.name=USER_DATA_COUNT[4]
unit.1.5.port.3.s.4.orderindex=-1
unit.1.5.port.3.s.4.visible=1
unit.1.5.port.3.s.5.alias=
unit.1.5.port.3.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.5.name=USER_DATA_COUNT[5]
unit.1.5.port.3.s.5.orderindex=-1
unit.1.5.port.3.s.5.visible=1
unit.1.5.port.3.s.6.alias=
unit.1.5.port.3.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.6.name=USER_DATA_COUNT[6]
unit.1.5.port.3.s.6.orderindex=-1
unit.1.5.port.3.s.6.visible=1
unit.1.5.port.3.s.7.alias=
unit.1.5.port.3.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.7.name=USER_DATA_COUNT[7]
unit.1.5.port.3.s.7.orderindex=-1
unit.1.5.port.3.s.7.visible=1
unit.1.5.port.3.s.8.alias=
unit.1.5.port.3.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.8.name=USER_DATA_COUNT[8]
unit.1.5.port.3.s.8.orderindex=-1
unit.1.5.port.3.s.8.visible=1
unit.1.5.port.3.s.9.alias=
unit.1.5.port.3.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.3.s.9.name=USER_DATA_COUNT[9]
unit.1.5.port.3.s.9.orderindex=-1
unit.1.5.port.3.s.9.visible=1
unit.1.5.port.4.b.0.alias=
unit.1.5.port.4.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.5.port.4.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.b.0.name=COMMAND_COUNT
unit.1.5.port.4.b.0.orderindex=-1
unit.1.5.port.4.b.0.radix=Hex
unit.1.5.port.4.b.0.signedOffset=0.0
unit.1.5.port.4.b.0.signedPrecision=0
unit.1.5.port.4.b.0.signedScaleFactor=1.0
unit.1.5.port.4.b.0.unsignedOffset=0.0
unit.1.5.port.4.b.0.unsignedPrecision=0
unit.1.5.port.4.b.0.unsignedScaleFactor=1.0
unit.1.5.port.4.b.0.visible=1
unit.1.5.port.4.buscount=1
unit.1.5.port.4.channelcount=32
unit.1.5.port.4.s.0.alias=
unit.1.5.port.4.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.0.name=COMMAND_COUNT[0]
unit.1.5.port.4.s.0.orderindex=-1
unit.1.5.port.4.s.0.visible=1
unit.1.5.port.4.s.1.alias=
unit.1.5.port.4.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.1.name=COMMAND_COUNT[1]
unit.1.5.port.4.s.1.orderindex=-1
unit.1.5.port.4.s.1.visible=1
unit.1.5.port.4.s.10.alias=
unit.1.5.port.4.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.10.name=TriggerPort4[10]
unit.1.5.port.4.s.10.orderindex=-1
unit.1.5.port.4.s.10.visible=1
unit.1.5.port.4.s.11.alias=
unit.1.5.port.4.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.11.name=TriggerPort4[11]
unit.1.5.port.4.s.11.orderindex=-1
unit.1.5.port.4.s.11.visible=1
unit.1.5.port.4.s.12.alias=
unit.1.5.port.4.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.12.name=TriggerPort4[12]
unit.1.5.port.4.s.12.orderindex=-1
unit.1.5.port.4.s.12.visible=1
unit.1.5.port.4.s.13.alias=
unit.1.5.port.4.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.13.name=TriggerPort4[13]
unit.1.5.port.4.s.13.orderindex=-1
unit.1.5.port.4.s.13.visible=1
unit.1.5.port.4.s.14.alias=
unit.1.5.port.4.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.14.name=TriggerPort4[14]
unit.1.5.port.4.s.14.orderindex=-1
unit.1.5.port.4.s.14.visible=1
unit.1.5.port.4.s.15.alias=
unit.1.5.port.4.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.15.name=TriggerPort4[15]
unit.1.5.port.4.s.15.orderindex=-1
unit.1.5.port.4.s.15.visible=1
unit.1.5.port.4.s.16.alias=
unit.1.5.port.4.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.16.name=TriggerPort4[16]
unit.1.5.port.4.s.16.orderindex=-1
unit.1.5.port.4.s.16.visible=1
unit.1.5.port.4.s.17.alias=
unit.1.5.port.4.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.17.name=TriggerPort4[17]
unit.1.5.port.4.s.17.orderindex=-1
unit.1.5.port.4.s.17.visible=1
unit.1.5.port.4.s.18.alias=
unit.1.5.port.4.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.18.name=TriggerPort4[18]
unit.1.5.port.4.s.18.orderindex=-1
unit.1.5.port.4.s.18.visible=1
unit.1.5.port.4.s.19.alias=
unit.1.5.port.4.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.19.name=TriggerPort4[19]
unit.1.5.port.4.s.19.orderindex=-1
unit.1.5.port.4.s.19.visible=1
unit.1.5.port.4.s.2.alias=
unit.1.5.port.4.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.2.name=COMMAND_COUNT[2]
unit.1.5.port.4.s.2.orderindex=-1
unit.1.5.port.4.s.2.visible=1
unit.1.5.port.4.s.20.alias=
unit.1.5.port.4.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.20.name=TriggerPort4[20]
unit.1.5.port.4.s.20.orderindex=-1
unit.1.5.port.4.s.20.visible=1
unit.1.5.port.4.s.21.alias=
unit.1.5.port.4.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.21.name=TriggerPort4[21]
unit.1.5.port.4.s.21.orderindex=-1
unit.1.5.port.4.s.21.visible=1
unit.1.5.port.4.s.22.alias=
unit.1.5.port.4.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.22.name=TriggerPort4[22]
unit.1.5.port.4.s.22.orderindex=-1
unit.1.5.port.4.s.22.visible=1
unit.1.5.port.4.s.23.alias=
unit.1.5.port.4.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.23.name=TriggerPort4[23]
unit.1.5.port.4.s.23.orderindex=-1
unit.1.5.port.4.s.23.visible=1
unit.1.5.port.4.s.24.alias=
unit.1.5.port.4.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.24.name=TriggerPort4[24]
unit.1.5.port.4.s.24.orderindex=-1
unit.1.5.port.4.s.24.visible=1
unit.1.5.port.4.s.25.alias=
unit.1.5.port.4.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.25.name=TriggerPort4[25]
unit.1.5.port.4.s.25.orderindex=-1
unit.1.5.port.4.s.25.visible=1
unit.1.5.port.4.s.26.alias=
unit.1.5.port.4.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.26.name=TriggerPort4[26]
unit.1.5.port.4.s.26.orderindex=-1
unit.1.5.port.4.s.26.visible=1
unit.1.5.port.4.s.27.alias=
unit.1.5.port.4.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.27.name=TriggerPort4[27]
unit.1.5.port.4.s.27.orderindex=-1
unit.1.5.port.4.s.27.visible=1
unit.1.5.port.4.s.28.alias=
unit.1.5.port.4.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.28.name=TriggerPort4[28]
unit.1.5.port.4.s.28.orderindex=-1
unit.1.5.port.4.s.28.visible=1
unit.1.5.port.4.s.29.alias=
unit.1.5.port.4.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.29.name=TriggerPort4[29]
unit.1.5.port.4.s.29.orderindex=-1
unit.1.5.port.4.s.29.visible=1
unit.1.5.port.4.s.3.alias=
unit.1.5.port.4.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.3.name=COMMAND_COUNT[3]
unit.1.5.port.4.s.3.orderindex=-1
unit.1.5.port.4.s.3.visible=1
unit.1.5.port.4.s.30.alias=
unit.1.5.port.4.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.30.name=TriggerPort4[30]
unit.1.5.port.4.s.30.orderindex=-1
unit.1.5.port.4.s.30.visible=1
unit.1.5.port.4.s.31.alias=
unit.1.5.port.4.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.31.name=TriggerPort4[31]
unit.1.5.port.4.s.31.orderindex=-1
unit.1.5.port.4.s.31.visible=1
unit.1.5.port.4.s.4.alias=
unit.1.5.port.4.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.4.name=COMMAND_COUNT[4]
unit.1.5.port.4.s.4.orderindex=-1
unit.1.5.port.4.s.4.visible=1
unit.1.5.port.4.s.5.alias=
unit.1.5.port.4.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.5.name=COMMAND_COUNT[5]
unit.1.5.port.4.s.5.orderindex=-1
unit.1.5.port.4.s.5.visible=1
unit.1.5.port.4.s.6.alias=Bus2IP_WrCE(4)
unit.1.5.port.4.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.6.name=COMMAND_COUNT[6]
unit.1.5.port.4.s.6.orderindex=-1
unit.1.5.port.4.s.6.visible=1
unit.1.5.port.4.s.7.alias=
unit.1.5.port.4.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.7.name=COMMAND_COUNT[7]
unit.1.5.port.4.s.7.orderindex=-1
unit.1.5.port.4.s.7.visible=1
unit.1.5.port.4.s.8.alias=
unit.1.5.port.4.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.8.name=TriggerPort4[8]
unit.1.5.port.4.s.8.orderindex=-1
unit.1.5.port.4.s.8.visible=1
unit.1.5.port.4.s.9.alias=
unit.1.5.port.4.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.4.s.9.name=TriggerPort4[9]
unit.1.5.port.4.s.9.orderindex=-1
unit.1.5.port.4.s.9.visible=1
unit.1.5.port.5.b.0.alias=
unit.1.5.port.5.b.0.channellist=0 1 2 3 4 5 6 7
unit.1.5.port.5.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.5.b.0.name=QUEUE_DEPTH
unit.1.5.port.5.b.0.orderindex=-1
unit.1.5.port.5.b.0.radix=Hex
unit.1.5.port.5.b.0.signedOffset=0.0
unit.1.5.port.5.b.0.signedPrecision=0
unit.1.5.port.5.b.0.signedScaleFactor=1.0
unit.1.5.port.5.b.0.unsignedOffset=0.0
unit.1.5.port.5.b.0.unsignedPrecision=0
unit.1.5.port.5.b.0.unsignedScaleFactor=1.0
unit.1.5.port.5.b.0.visible=1
unit.1.5.port.5.buscount=1
unit.1.5.port.5.channelcount=8
unit.1.5.port.5.s.0.alias=
unit.1.5.port.5.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.5.s.0.name=QUEUE_DEPTH[0]
unit.1.5.port.5.s.0.orderindex=-1
unit.1.5.port.5.s.0.visible=1
unit.1.5.port.5.s.1.alias=
unit.1.5.port.5.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.5.s.1.name=QUEUE_DEPTH[1]
unit.1.5.port.5.s.1.orderindex=-1
unit.1.5.port.5.s.1.visible=1
unit.1.5.port.5.s.2.alias=READY_FOR_CMD
unit.1.5.port.5.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.5.s.2.name=QUEUE_DEPTH[2]
unit.1.5.port.5.s.2.orderindex=-1
unit.1.5.port.5.s.2.visible=1
unit.1.5.port.5.s.3.alias=
unit.1.5.port.5.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.5.s.3.name=QUEUE_DEPTH[3]
unit.1.5.port.5.s.3.orderindex=-1
unit.1.5.port.5.s.3.visible=1
unit.1.5.port.5.s.4.alias=NCQ_CMD_DONE
unit.1.5.port.5.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.5.s.4.name=QUEUE_DEPTH[4]
unit.1.5.port.5.s.4.orderindex=-1
unit.1.5.port.5.s.4.visible=1
unit.1.5.port.5.s.5.alias=USER_FIFO_PROG_FULL
unit.1.5.port.5.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.5.s.5.name=QUEUE_DEPTH[5]
unit.1.5.port.5.s.5.orderindex=-1
unit.1.5.port.5.s.5.visible=1
unit.1.5.port.5.s.6.alias=
unit.1.5.port.5.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.5.s.6.name=QUEUE_DEPTH[6]
unit.1.5.port.5.s.6.orderindex=-1
unit.1.5.port.5.s.6.visible=1
unit.1.5.port.5.s.7.alias=
unit.1.5.port.5.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.5.s.7.name=QUEUE_DEPTH[7]
unit.1.5.port.5.s.7.orderindex=-1
unit.1.5.port.5.s.7.visible=1
unit.1.5.port.6.b.0.alias=
unit.1.5.port.6.b.0.channellist=0 1 2 3 4 5 6 7
unit.1.5.port.6.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.6.b.0.name=QUEUE_DEPTH_REM
unit.1.5.port.6.b.0.orderindex=-1
unit.1.5.port.6.b.0.radix=Hex
unit.1.5.port.6.b.0.signedOffset=0.0
unit.1.5.port.6.b.0.signedPrecision=0
unit.1.5.port.6.b.0.signedScaleFactor=1.0
unit.1.5.port.6.b.0.unsignedOffset=0.0
unit.1.5.port.6.b.0.unsignedPrecision=0
unit.1.5.port.6.b.0.unsignedScaleFactor=1.0
unit.1.5.port.6.b.0.visible=1
unit.1.5.port.6.buscount=1
unit.1.5.port.6.channelcount=8
unit.1.5.port.6.s.0.alias=
unit.1.5.port.6.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.6.s.0.name=QUEUE_DEPTH_REM[0]
unit.1.5.port.6.s.0.orderindex=-1
unit.1.5.port.6.s.0.visible=1
unit.1.5.port.6.s.1.alias=
unit.1.5.port.6.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.6.s.1.name=QUEUE_DEPTH_REM[1]
unit.1.5.port.6.s.1.orderindex=-1
unit.1.5.port.6.s.1.visible=1
unit.1.5.port.6.s.2.alias=
unit.1.5.port.6.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.6.s.2.name=QUEUE_DEPTH_REM[2]
unit.1.5.port.6.s.2.orderindex=-1
unit.1.5.port.6.s.2.visible=1
unit.1.5.port.6.s.3.alias=
unit.1.5.port.6.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.6.s.3.name=QUEUE_DEPTH_REM[3]
unit.1.5.port.6.s.3.orderindex=-1
unit.1.5.port.6.s.3.visible=1
unit.1.5.port.6.s.4.alias=
unit.1.5.port.6.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.6.s.4.name=QUEUE_DEPTH_REM[4]
unit.1.5.port.6.s.4.orderindex=-1
unit.1.5.port.6.s.4.visible=1
unit.1.5.port.6.s.5.alias=
unit.1.5.port.6.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.6.s.5.name=QUEUE_DEPTH_REM[5]
unit.1.5.port.6.s.5.orderindex=-1
unit.1.5.port.6.s.5.visible=1
unit.1.5.port.6.s.6.alias=
unit.1.5.port.6.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.6.s.6.name=QUEUE_DEPTH_REM[6]
unit.1.5.port.6.s.6.orderindex=-1
unit.1.5.port.6.s.6.visible=1
unit.1.5.port.6.s.7.alias=
unit.1.5.port.6.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.6.s.7.name=QUEUE_DEPTH_REM[7]
unit.1.5.port.6.s.7.orderindex=-1
unit.1.5.port.6.s.7.visible=1
unit.1.5.port.7.b.0.alias=
unit.1.5.port.7.b.0.channellist=0 1 2 3 4 5 6 7
unit.1.5.port.7.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.7.b.0.name=TRIG7
unit.1.5.port.7.b.0.orderindex=-1
unit.1.5.port.7.b.0.radix=Hex
unit.1.5.port.7.b.0.signedOffset=0.0
unit.1.5.port.7.b.0.signedPrecision=0
unit.1.5.port.7.b.0.signedScaleFactor=1.0
unit.1.5.port.7.b.0.unsignedOffset=0.0
unit.1.5.port.7.b.0.unsignedPrecision=0
unit.1.5.port.7.b.0.unsignedScaleFactor=1.0
unit.1.5.port.7.b.0.visible=1
unit.1.5.port.7.buscount=1
unit.1.5.port.7.channelcount=8
unit.1.5.port.7.s.0.alias=
unit.1.5.port.7.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.7.s.0.name=NEW_CMD
unit.1.5.port.7.s.0.orderindex=-1
unit.1.5.port.7.s.0.visible=1
unit.1.5.port.7.s.1.alias=
unit.1.5.port.7.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.7.s.1.name=USER_DIN_WE
unit.1.5.port.7.s.1.orderindex=-1
unit.1.5.port.7.s.1.visible=1
unit.1.5.port.7.s.2.alias=rdy_for_cmd
unit.1.5.port.7.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.7.s.2.name=USER_DIN_RE
unit.1.5.port.7.s.2.orderindex=-1
unit.1.5.port.7.s.2.visible=1
unit.1.5.port.7.s.3.alias=
unit.1.5.port.7.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.7.s.3.name=ABORT_WRITE
unit.1.5.port.7.s.3.orderindex=-1
unit.1.5.port.7.s.3.visible=1
unit.1.5.port.7.s.4.alias=
unit.1.5.port.7.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.7.s.4.name=NCQ_CMD_DONE
unit.1.5.port.7.s.4.orderindex=-1
unit.1.5.port.7.s.4.visible=1
unit.1.5.port.7.s.5.alias=
unit.1.5.port.7.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.7.s.5.name=USER_FIFO_PROG_FULL
unit.1.5.port.7.s.5.orderindex=-1
unit.1.5.port.7.s.5.visible=1
unit.1.5.port.7.s.6.alias=
unit.1.5.port.7.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.7.s.6.name=NEW_CMD_NCQ
unit.1.5.port.7.s.6.orderindex=-1
unit.1.5.port.7.s.6.visible=1
unit.1.5.port.7.s.7.alias=
unit.1.5.port.7.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.5.port.7.s.7.name=USER_DOUT_RE
unit.1.5.port.7.s.7.orderindex=-1
unit.1.5.port.7.s.7.visible=1
unit.1.5.portcount=6
unit.1.5.rep_trigger.clobber=1
unit.1.5.rep_trigger.dir=/home/aamendon/reports/phdtheses/aamendon/code/2010/sata_core/VERSION4/base_linux_nfs_fis_retry_REG_XRDY/chipscope
unit.1.5.rep_trigger.filename=waveform
unit.1.5.rep_trigger.format=ASCII
unit.1.5.rep_trigger.loggingEnabled=0
unit.1.5.rep_trigger.signals=All Signals/Buses
unit.1.5.samplesPerTrigger=1
unit.1.5.triggerCapture=1
unit.1.5.triggerNSamplesTS=0
unit.1.5.triggerPosition=100
unit.1.5.triggerWindowCount=1
unit.1.5.triggerWindowDepth=1024
unit.1.5.triggerWindowTS=0
unit.1.5.username=USER_LOGIC
unit.1.5.waveform.count=15
unit.1.5.waveform.posn.0.channel=2147483646
unit.1.5.waveform.posn.0.name=QUEUE_DEPTH_REM
unit.1.5.waveform.posn.0.radix=1
unit.1.5.waveform.posn.0.type=bus
unit.1.5.waveform.posn.1.channel=2147483646
unit.1.5.waveform.posn.1.name=QUEUE_DEPTH
unit.1.5.waveform.posn.1.radix=4
unit.1.5.waveform.posn.1.type=bus
unit.1.5.waveform.posn.10.channel=127
unit.1.5.waveform.posn.10.name=ABORT_WRITE
unit.1.5.waveform.posn.10.radix=1
unit.1.5.waveform.posn.10.type=signal
unit.1.5.waveform.posn.100.channel=2147483646
unit.1.5.waveform.posn.100.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.100.radix=1
unit.1.5.waveform.posn.100.type=bus
unit.1.5.waveform.posn.101.channel=2147483646
unit.1.5.waveform.posn.101.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.101.radix=1
unit.1.5.waveform.posn.101.type=bus
unit.1.5.waveform.posn.102.channel=2147483646
unit.1.5.waveform.posn.102.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.102.radix=1
unit.1.5.waveform.posn.102.type=bus
unit.1.5.waveform.posn.103.channel=2147483646
unit.1.5.waveform.posn.103.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.103.radix=1
unit.1.5.waveform.posn.103.type=bus
unit.1.5.waveform.posn.104.channel=2147483646
unit.1.5.waveform.posn.104.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.104.radix=1
unit.1.5.waveform.posn.104.type=bus
unit.1.5.waveform.posn.105.channel=2147483646
unit.1.5.waveform.posn.105.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.105.radix=1
unit.1.5.waveform.posn.105.type=bus
unit.1.5.waveform.posn.106.channel=2147483646
unit.1.5.waveform.posn.106.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.106.radix=1
unit.1.5.waveform.posn.106.type=bus
unit.1.5.waveform.posn.107.channel=2147483646
unit.1.5.waveform.posn.107.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.107.radix=1
unit.1.5.waveform.posn.107.type=bus
unit.1.5.waveform.posn.108.channel=2147483646
unit.1.5.waveform.posn.108.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.108.radix=1
unit.1.5.waveform.posn.108.type=bus
unit.1.5.waveform.posn.109.channel=2147483646
unit.1.5.waveform.posn.109.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.109.radix=1
unit.1.5.waveform.posn.109.type=bus
unit.1.5.waveform.posn.11.channel=128
unit.1.5.waveform.posn.11.name=DataPort[128]
unit.1.5.waveform.posn.11.radix=1
unit.1.5.waveform.posn.11.type=signal
unit.1.5.waveform.posn.110.channel=2147483646
unit.1.5.waveform.posn.110.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.110.radix=1
unit.1.5.waveform.posn.110.type=bus
unit.1.5.waveform.posn.111.channel=2147483646
unit.1.5.waveform.posn.111.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.111.radix=1
unit.1.5.waveform.posn.111.type=bus
unit.1.5.waveform.posn.112.channel=2147483646
unit.1.5.waveform.posn.112.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.112.radix=1
unit.1.5.waveform.posn.112.type=bus
unit.1.5.waveform.posn.12.channel=129
unit.1.5.waveform.posn.12.name=DataPort[129]
unit.1.5.waveform.posn.12.radix=1
unit.1.5.waveform.posn.12.type=signal
unit.1.5.waveform.posn.13.channel=130
unit.1.5.waveform.posn.13.name=NEW_CMD_NCQ
unit.1.5.waveform.posn.13.radix=1
unit.1.5.waveform.posn.13.type=signal
unit.1.5.waveform.posn.14.channel=131
unit.1.5.waveform.posn.14.name=USER_DOUT_RE
unit.1.5.waveform.posn.14.radix=1
unit.1.5.waveform.posn.14.type=signal
unit.1.5.waveform.posn.15.channel=2147483646
unit.1.5.waveform.posn.15.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.15.radix=1
unit.1.5.waveform.posn.15.type=bus
unit.1.5.waveform.posn.16.channel=2147483646
unit.1.5.waveform.posn.16.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.16.radix=1
unit.1.5.waveform.posn.16.type=bus
unit.1.5.waveform.posn.17.channel=2147483646
unit.1.5.waveform.posn.17.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.17.radix=1
unit.1.5.waveform.posn.17.type=bus
unit.1.5.waveform.posn.18.channel=2147483646
unit.1.5.waveform.posn.18.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.18.radix=1
unit.1.5.waveform.posn.18.type=bus
unit.1.5.waveform.posn.19.channel=2147483646
unit.1.5.waveform.posn.19.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.19.radix=1
unit.1.5.waveform.posn.19.type=bus
unit.1.5.waveform.posn.2.channel=2147483646
unit.1.5.waveform.posn.2.name=COMMAND_COUNT
unit.1.5.waveform.posn.2.radix=4
unit.1.5.waveform.posn.2.type=bus
unit.1.5.waveform.posn.20.channel=2147483646
unit.1.5.waveform.posn.20.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.20.radix=1
unit.1.5.waveform.posn.20.type=bus
unit.1.5.waveform.posn.21.channel=2147483646
unit.1.5.waveform.posn.21.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.21.radix=1
unit.1.5.waveform.posn.21.type=bus
unit.1.5.waveform.posn.22.channel=2147483646
unit.1.5.waveform.posn.22.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.22.radix=1
unit.1.5.waveform.posn.22.type=bus
unit.1.5.waveform.posn.23.channel=2147483646
unit.1.5.waveform.posn.23.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.23.radix=1
unit.1.5.waveform.posn.23.type=bus
unit.1.5.waveform.posn.24.channel=2147483646
unit.1.5.waveform.posn.24.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.24.radix=1
unit.1.5.waveform.posn.24.type=bus
unit.1.5.waveform.posn.25.channel=2147483646
unit.1.5.waveform.posn.25.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.25.radix=1
unit.1.5.waveform.posn.25.type=bus
unit.1.5.waveform.posn.26.channel=2147483646
unit.1.5.waveform.posn.26.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.26.radix=1
unit.1.5.waveform.posn.26.type=bus
unit.1.5.waveform.posn.27.channel=2147483646
unit.1.5.waveform.posn.27.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.27.radix=1
unit.1.5.waveform.posn.27.type=bus
unit.1.5.waveform.posn.28.channel=2147483646
unit.1.5.waveform.posn.28.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.28.radix=1
unit.1.5.waveform.posn.28.type=bus
unit.1.5.waveform.posn.29.channel=2147483646
unit.1.5.waveform.posn.29.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.29.radix=1
unit.1.5.waveform.posn.29.type=bus
unit.1.5.waveform.posn.3.channel=2147483646
unit.1.5.waveform.posn.3.name=COMMAND_TIMER
unit.1.5.waveform.posn.3.radix=4
unit.1.5.waveform.posn.3.type=bus
unit.1.5.waveform.posn.30.channel=2147483646
unit.1.5.waveform.posn.30.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.30.radix=1
unit.1.5.waveform.posn.30.type=bus
unit.1.5.waveform.posn.31.channel=2147483646
unit.1.5.waveform.posn.31.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.31.radix=1
unit.1.5.waveform.posn.31.type=bus
unit.1.5.waveform.posn.32.channel=2147483646
unit.1.5.waveform.posn.32.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.32.radix=1
unit.1.5.waveform.posn.32.type=bus
unit.1.5.waveform.posn.33.channel=2147483646
unit.1.5.waveform.posn.33.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.33.radix=1
unit.1.5.waveform.posn.33.type=bus
unit.1.5.waveform.posn.34.channel=2147483646
unit.1.5.waveform.posn.34.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.34.radix=1
unit.1.5.waveform.posn.34.type=bus
unit.1.5.waveform.posn.35.channel=2147483646
unit.1.5.waveform.posn.35.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.35.radix=1
unit.1.5.waveform.posn.35.type=bus
unit.1.5.waveform.posn.36.channel=2147483646
unit.1.5.waveform.posn.36.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.36.radix=1
unit.1.5.waveform.posn.36.type=bus
unit.1.5.waveform.posn.37.channel=2147483646
unit.1.5.waveform.posn.37.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.37.radix=1
unit.1.5.waveform.posn.37.type=bus
unit.1.5.waveform.posn.38.channel=2147483646
unit.1.5.waveform.posn.38.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.38.radix=1
unit.1.5.waveform.posn.38.type=bus
unit.1.5.waveform.posn.39.channel=2147483646
unit.1.5.waveform.posn.39.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.39.radix=1
unit.1.5.waveform.posn.39.type=bus
unit.1.5.waveform.posn.4.channel=2147483646
unit.1.5.waveform.posn.4.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.4.radix=1
unit.1.5.waveform.posn.4.type=bus
unit.1.5.waveform.posn.40.channel=2147483646
unit.1.5.waveform.posn.40.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.40.radix=1
unit.1.5.waveform.posn.40.type=bus
unit.1.5.waveform.posn.41.channel=2147483646
unit.1.5.waveform.posn.41.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.41.radix=1
unit.1.5.waveform.posn.41.type=bus
unit.1.5.waveform.posn.42.channel=2147483646
unit.1.5.waveform.posn.42.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.42.radix=1
unit.1.5.waveform.posn.42.type=bus
unit.1.5.waveform.posn.43.channel=2147483646
unit.1.5.waveform.posn.43.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.43.radix=1
unit.1.5.waveform.posn.43.type=bus
unit.1.5.waveform.posn.44.channel=2147483646
unit.1.5.waveform.posn.44.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.44.radix=1
unit.1.5.waveform.posn.44.type=bus
unit.1.5.waveform.posn.45.channel=2147483646
unit.1.5.waveform.posn.45.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.45.radix=1
unit.1.5.waveform.posn.45.type=bus
unit.1.5.waveform.posn.46.channel=2147483646
unit.1.5.waveform.posn.46.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.46.radix=1
unit.1.5.waveform.posn.46.type=bus
unit.1.5.waveform.posn.47.channel=2147483646
unit.1.5.waveform.posn.47.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.47.radix=1
unit.1.5.waveform.posn.47.type=bus
unit.1.5.waveform.posn.48.channel=2147483646
unit.1.5.waveform.posn.48.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.48.radix=1
unit.1.5.waveform.posn.48.type=bus
unit.1.5.waveform.posn.49.channel=2147483646
unit.1.5.waveform.posn.49.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.49.radix=1
unit.1.5.waveform.posn.49.type=bus
unit.1.5.waveform.posn.5.channel=2147483646
unit.1.5.waveform.posn.5.name=USER_DIN
unit.1.5.waveform.posn.5.radix=1
unit.1.5.waveform.posn.5.type=bus
unit.1.5.waveform.posn.50.channel=2147483646
unit.1.5.waveform.posn.50.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.50.radix=1
unit.1.5.waveform.posn.50.type=bus
unit.1.5.waveform.posn.51.channel=2147483646
unit.1.5.waveform.posn.51.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.51.radix=1
unit.1.5.waveform.posn.51.type=bus
unit.1.5.waveform.posn.52.channel=2147483646
unit.1.5.waveform.posn.52.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.52.radix=1
unit.1.5.waveform.posn.52.type=bus
unit.1.5.waveform.posn.53.channel=2147483646
unit.1.5.waveform.posn.53.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.53.radix=1
unit.1.5.waveform.posn.53.type=bus
unit.1.5.waveform.posn.54.channel=2147483646
unit.1.5.waveform.posn.54.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.54.radix=1
unit.1.5.waveform.posn.54.type=bus
unit.1.5.waveform.posn.55.channel=2147483646
unit.1.5.waveform.posn.55.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.55.radix=1
unit.1.5.waveform.posn.55.type=bus
unit.1.5.waveform.posn.56.channel=2147483646
unit.1.5.waveform.posn.56.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.56.radix=1
unit.1.5.waveform.posn.56.type=bus
unit.1.5.waveform.posn.57.channel=2147483646
unit.1.5.waveform.posn.57.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.57.radix=1
unit.1.5.waveform.posn.57.type=bus
unit.1.5.waveform.posn.58.channel=2147483646
unit.1.5.waveform.posn.58.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.58.radix=1
unit.1.5.waveform.posn.58.type=bus
unit.1.5.waveform.posn.59.channel=2147483646
unit.1.5.waveform.posn.59.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.59.radix=1
unit.1.5.waveform.posn.59.type=bus
unit.1.5.waveform.posn.6.channel=2147483646
unit.1.5.waveform.posn.6.name=TEST_FSM_VALUE
unit.1.5.waveform.posn.6.radix=1
unit.1.5.waveform.posn.6.type=bus
unit.1.5.waveform.posn.60.channel=2147483646
unit.1.5.waveform.posn.60.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.60.radix=1
unit.1.5.waveform.posn.60.type=bus
unit.1.5.waveform.posn.61.channel=2147483646
unit.1.5.waveform.posn.61.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.61.radix=1
unit.1.5.waveform.posn.61.type=bus
unit.1.5.waveform.posn.62.channel=2147483646
unit.1.5.waveform.posn.62.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.62.radix=1
unit.1.5.waveform.posn.62.type=bus
unit.1.5.waveform.posn.63.channel=2147483646
unit.1.5.waveform.posn.63.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.63.radix=1
unit.1.5.waveform.posn.63.type=bus
unit.1.5.waveform.posn.64.channel=2147483646
unit.1.5.waveform.posn.64.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.64.radix=1
unit.1.5.waveform.posn.64.type=bus
unit.1.5.waveform.posn.65.channel=2147483646
unit.1.5.waveform.posn.65.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.65.radix=1
unit.1.5.waveform.posn.65.type=bus
unit.1.5.waveform.posn.66.channel=2147483646
unit.1.5.waveform.posn.66.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.66.radix=1
unit.1.5.waveform.posn.66.type=bus
unit.1.5.waveform.posn.67.channel=2147483646
unit.1.5.waveform.posn.67.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.67.radix=1
unit.1.5.waveform.posn.67.type=bus
unit.1.5.waveform.posn.68.channel=2147483646
unit.1.5.waveform.posn.68.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.68.radix=1
unit.1.5.waveform.posn.68.type=bus
unit.1.5.waveform.posn.69.channel=2147483646
unit.1.5.waveform.posn.69.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.69.radix=1
unit.1.5.waveform.posn.69.type=bus
unit.1.5.waveform.posn.7.channel=124
unit.1.5.waveform.posn.7.name=NEW_CMD
unit.1.5.waveform.posn.7.radix=1
unit.1.5.waveform.posn.7.type=signal
unit.1.5.waveform.posn.70.channel=2147483646
unit.1.5.waveform.posn.70.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.70.radix=1
unit.1.5.waveform.posn.70.type=bus
unit.1.5.waveform.posn.71.channel=2147483646
unit.1.5.waveform.posn.71.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.71.radix=1
unit.1.5.waveform.posn.71.type=bus
unit.1.5.waveform.posn.72.channel=2147483646
unit.1.5.waveform.posn.72.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.72.radix=1
unit.1.5.waveform.posn.72.type=bus
unit.1.5.waveform.posn.73.channel=2147483646
unit.1.5.waveform.posn.73.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.73.radix=1
unit.1.5.waveform.posn.73.type=bus
unit.1.5.waveform.posn.74.channel=2147483646
unit.1.5.waveform.posn.74.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.74.radix=1
unit.1.5.waveform.posn.74.type=bus
unit.1.5.waveform.posn.75.channel=2147483646
unit.1.5.waveform.posn.75.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.75.radix=1
unit.1.5.waveform.posn.75.type=bus
unit.1.5.waveform.posn.76.channel=2147483646
unit.1.5.waveform.posn.76.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.76.radix=1
unit.1.5.waveform.posn.76.type=bus
unit.1.5.waveform.posn.77.channel=2147483646
unit.1.5.waveform.posn.77.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.77.radix=1
unit.1.5.waveform.posn.77.type=bus
unit.1.5.waveform.posn.78.channel=2147483646
unit.1.5.waveform.posn.78.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.78.radix=1
unit.1.5.waveform.posn.78.type=bus
unit.1.5.waveform.posn.79.channel=2147483646
unit.1.5.waveform.posn.79.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.79.radix=1
unit.1.5.waveform.posn.79.type=bus
unit.1.5.waveform.posn.8.channel=125
unit.1.5.waveform.posn.8.name=USER_DIN_WE
unit.1.5.waveform.posn.8.radix=1
unit.1.5.waveform.posn.8.type=signal
unit.1.5.waveform.posn.80.channel=2147483646
unit.1.5.waveform.posn.80.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.80.radix=1
unit.1.5.waveform.posn.80.type=bus
unit.1.5.waveform.posn.81.channel=2147483646
unit.1.5.waveform.posn.81.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.81.radix=1
unit.1.5.waveform.posn.81.type=bus
unit.1.5.waveform.posn.82.channel=2147483646
unit.1.5.waveform.posn.82.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.82.radix=1
unit.1.5.waveform.posn.82.type=bus
unit.1.5.waveform.posn.83.channel=2147483646
unit.1.5.waveform.posn.83.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.83.radix=1
unit.1.5.waveform.posn.83.type=bus
unit.1.5.waveform.posn.84.channel=2147483646
unit.1.5.waveform.posn.84.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.84.radix=1
unit.1.5.waveform.posn.84.type=bus
unit.1.5.waveform.posn.85.channel=2147483646
unit.1.5.waveform.posn.85.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.85.radix=1
unit.1.5.waveform.posn.85.type=bus
unit.1.5.waveform.posn.86.channel=2147483646
unit.1.5.waveform.posn.86.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.86.radix=1
unit.1.5.waveform.posn.86.type=bus
unit.1.5.waveform.posn.87.channel=2147483646
unit.1.5.waveform.posn.87.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.87.radix=1
unit.1.5.waveform.posn.87.type=bus
unit.1.5.waveform.posn.88.channel=2147483646
unit.1.5.waveform.posn.88.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.88.radix=1
unit.1.5.waveform.posn.88.type=bus
unit.1.5.waveform.posn.89.channel=2147483646
unit.1.5.waveform.posn.89.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.89.radix=1
unit.1.5.waveform.posn.89.type=bus
unit.1.5.waveform.posn.9.channel=126
unit.1.5.waveform.posn.9.name=rdy_for_cmd
unit.1.5.waveform.posn.9.radix=1
unit.1.5.waveform.posn.9.type=signal
unit.1.5.waveform.posn.90.channel=2147483646
unit.1.5.waveform.posn.90.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.90.radix=1
unit.1.5.waveform.posn.90.type=bus
unit.1.5.waveform.posn.91.channel=2147483646
unit.1.5.waveform.posn.91.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.91.radix=1
unit.1.5.waveform.posn.91.type=bus
unit.1.5.waveform.posn.92.channel=2147483646
unit.1.5.waveform.posn.92.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.92.radix=1
unit.1.5.waveform.posn.92.type=bus
unit.1.5.waveform.posn.93.channel=2147483646
unit.1.5.waveform.posn.93.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.93.radix=1
unit.1.5.waveform.posn.93.type=bus
unit.1.5.waveform.posn.94.channel=2147483646
unit.1.5.waveform.posn.94.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.94.radix=1
unit.1.5.waveform.posn.94.type=bus
unit.1.5.waveform.posn.95.channel=2147483646
unit.1.5.waveform.posn.95.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.95.radix=1
unit.1.5.waveform.posn.95.type=bus
unit.1.5.waveform.posn.96.channel=2147483646
unit.1.5.waveform.posn.96.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.96.radix=1
unit.1.5.waveform.posn.96.type=bus
unit.1.5.waveform.posn.97.channel=2147483646
unit.1.5.waveform.posn.97.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.97.radix=1
unit.1.5.waveform.posn.97.type=bus
unit.1.5.waveform.posn.98.channel=2147483646
unit.1.5.waveform.posn.98.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.98.radix=1
unit.1.5.waveform.posn.98.type=bus
unit.1.5.waveform.posn.99.channel=2147483646
unit.1.5.waveform.posn.99.name=USER_FIFO_DOUT
unit.1.5.waveform.posn.99.radix=1
unit.1.5.waveform.posn.99.type=bus
unit.1.6.0.HEIGHT0=0.56875837
unit.1.6.0.TriggerRow0=1
unit.1.6.0.TriggerRow1=1
unit.1.6.0.TriggerRow2=1
unit.1.6.0.WIDTH0=0.88014984
unit.1.6.0.X0=0.08895131
unit.1.6.0.Y0=0.02670227
unit.1.6.1.HEIGHT1=0.70761013
unit.1.6.1.WIDTH1=0.90790695
unit.1.6.1.X1=0.089302324
unit.1.6.1.Y1=0.13351135
unit.1.6.MFBitsA0=0100XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.6.MFBitsA1=0000100000000000000000000000000000000000000000000000000000000000
unit.1.6.MFBitsA2=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.6.MFBitsA3=01000000100000000000000000000000
unit.1.6.MFBitsA4=XXXXXXXX
unit.1.6.MFBitsA5=XXXXXXXX
unit.1.6.MFBitsA6=XXXX
unit.1.6.MFBitsA7=0010
unit.1.6.MFBitsA8=XX
unit.1.6.MFBitsA9=X1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.6.MFBitsB0=0000000000000000000000000000000000000000000000000000000000000000
unit.1.6.MFBitsB1=0000000000000000000000000000000000000000000000000000000000000000
unit.1.6.MFBitsB2=00000000000000000000000000000000
unit.1.6.MFBitsB3=00000000000000000000000000000000
unit.1.6.MFBitsB4=00000000
unit.1.6.MFBitsB5=00000000
unit.1.6.MFBitsB6=0000
unit.1.6.MFBitsB7=0000
unit.1.6.MFBitsB8=00
unit.1.6.MFBitsB9=00000000000000000000000000000000
unit.1.6.MFCompareA0=0
unit.1.6.MFCompareA1=0
unit.1.6.MFCompareA2=0
unit.1.6.MFCompareA3=0
unit.1.6.MFCompareA4=0
unit.1.6.MFCompareA5=0
unit.1.6.MFCompareA6=0
unit.1.6.MFCompareA7=0
unit.1.6.MFCompareA8=0
unit.1.6.MFCompareA9=0
unit.1.6.MFCompareB0=999
unit.1.6.MFCompareB1=999
unit.1.6.MFCompareB2=999
unit.1.6.MFCompareB3=999
unit.1.6.MFCompareB4=999
unit.1.6.MFCompareB5=999
unit.1.6.MFCompareB6=999
unit.1.6.MFCompareB7=999
unit.1.6.MFCompareB8=999
unit.1.6.MFCompareB9=999
unit.1.6.MFCount=10
unit.1.6.MFDisplay0=1
unit.1.6.MFDisplay1=4
unit.1.6.MFDisplay2=0
unit.1.6.MFDisplay3=4
unit.1.6.MFDisplay4=0
unit.1.6.MFDisplay5=0
unit.1.6.MFDisplay6=0
unit.1.6.MFDisplay7=1
unit.1.6.MFDisplay8=0
unit.1.6.MFDisplay9=0
unit.1.6.MFEventType0=3
unit.1.6.MFEventType1=3
unit.1.6.MFEventType2=3
unit.1.6.MFEventType3=3
unit.1.6.MFEventType4=3
unit.1.6.MFEventType5=3
unit.1.6.MFEventType6=3
unit.1.6.MFEventType7=3
unit.1.6.MFEventType8=3
unit.1.6.MFEventType9=3
unit.1.6.RunMode=SINGLE RUN
unit.1.6.SQCondition=All Data
unit.1.6.SQContiguous0=0
unit.1.6.SequencerOn=0
unit.1.6.TCActive=0
unit.1.6.TCAdvanced0=0
unit.1.6.TCCondition0_0=M9
unit.1.6.TCCondition0_1=
unit.1.6.TCConditionType0=0
unit.1.6.TCCount=1
unit.1.6.TCEventCount0=1
unit.1.6.TCEventType0=3
unit.1.6.TCName0=TriggerCondition0
unit.1.6.TCOutputEnable0=0
unit.1.6.TCOutputHigh0=1
unit.1.6.TCOutputMode0=0
unit.1.6.browser_tree_state<Data\ Port>=1
unit.1.6.browser_tree_state<TRIG8>=0
unit.1.6.browser_tree_state<TRIG9>=0
unit.1.6.coretype=ILA
unit.1.6.eventCount0=1
unit.1.6.eventCount1=1
unit.1.6.eventCount2=1
unit.1.6.eventCount3=1
unit.1.6.eventCount4=1
unit.1.6.eventCount5=1
unit.1.6.eventCount6=1
unit.1.6.eventCount7=1
unit.1.6.eventCount8=1
unit.1.6.eventCount9=1
unit.1.6.port.-1.b.0.alias=DATA_FROM_MEM
unit.1.6.port.-1.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63
unit.1.6.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.b.0.name=BUS_0
unit.1.6.port.-1.b.0.orderindex=250
unit.1.6.port.-1.b.0.radix=Hex
unit.1.6.port.-1.b.0.signedOffset=0.0
unit.1.6.port.-1.b.0.signedPrecision=0
unit.1.6.port.-1.b.0.signedScaleFactor=1.0
unit.1.6.port.-1.b.0.tokencount=0
unit.1.6.port.-1.b.0.unsignedOffset=0.0
unit.1.6.port.-1.b.0.unsignedPrecision=0
unit.1.6.port.-1.b.0.unsignedScaleFactor=1.0
unit.1.6.port.-1.b.0.visible=1
unit.1.6.port.-1.b.1.alias=DATA_TO_MEM
unit.1.6.port.-1.b.1.channellist=64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127
unit.1.6.port.-1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.b.1.name=BUS_1
unit.1.6.port.-1.b.1.orderindex=251
unit.1.6.port.-1.b.1.radix=Hex
unit.1.6.port.-1.b.1.signedOffset=0.0
unit.1.6.port.-1.b.1.signedPrecision=0
unit.1.6.port.-1.b.1.signedScaleFactor=1.0
unit.1.6.port.-1.b.1.tokencount=0
unit.1.6.port.-1.b.1.unsignedOffset=0.0
unit.1.6.port.-1.b.1.unsignedPrecision=0
unit.1.6.port.-1.b.1.unsignedScaleFactor=1.0
unit.1.6.port.-1.b.1.visible=1
unit.1.6.port.-1.b.2.alias=MY_NPI_ADDR
unit.1.6.port.-1.b.2.channellist=128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159
unit.1.6.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.b.2.name=BUS_2
unit.1.6.port.-1.b.2.orderindex=252
unit.1.6.port.-1.b.2.radix=Hex
unit.1.6.port.-1.b.2.signedOffset=0.0
unit.1.6.port.-1.b.2.signedPrecision=0
unit.1.6.port.-1.b.2.signedScaleFactor=1.0
unit.1.6.port.-1.b.2.tokencount=0
unit.1.6.port.-1.b.2.unsignedOffset=0.0
unit.1.6.port.-1.b.2.unsignedPrecision=0
unit.1.6.port.-1.b.2.unsignedScaleFactor=1.0
unit.1.6.port.-1.b.2.visible=1
unit.1.6.port.-1.b.3.alias=NPI_RDFIFO_LATENCY
unit.1.6.port.-1.b.3.channellist=216 217
unit.1.6.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.b.3.name=BUS_8
unit.1.6.port.-1.b.3.orderindex=258
unit.1.6.port.-1.b.3.radix=Hex
unit.1.6.port.-1.b.3.signedOffset=0.0
unit.1.6.port.-1.b.3.signedPrecision=0
unit.1.6.port.-1.b.3.signedScaleFactor=1.0
unit.1.6.port.-1.b.3.tokencount=0
unit.1.6.port.-1.b.3.unsignedOffset=0.0
unit.1.6.port.-1.b.3.unsignedPrecision=0
unit.1.6.port.-1.b.3.unsignedScaleFactor=1.0
unit.1.6.port.-1.b.3.visible=1
unit.1.6.port.-1.b.4.alias=NUM_WR_BYTES_LEFT
unit.1.6.port.-1.b.4.channellist=160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191
unit.1.6.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.b.4.name=BUS_3
unit.1.6.port.-1.b.4.orderindex=253
unit.1.6.port.-1.b.4.radix=Unsigned
unit.1.6.port.-1.b.4.signedOffset=0.0
unit.1.6.port.-1.b.4.signedPrecision=0
unit.1.6.port.-1.b.4.signedScaleFactor=1.0
unit.1.6.port.-1.b.4.tokencount=0
unit.1.6.port.-1.b.4.unsignedOffset=0.0
unit.1.6.port.-1.b.4.unsignedPrecision=0
unit.1.6.port.-1.b.4.unsignedScaleFactor=1.0
unit.1.6.port.-1.b.4.visible=1
unit.1.6.port.-1.b.5.alias=RD_FSM_VALUE
unit.1.6.port.-1.b.5.channellist=208 209 210 211
unit.1.6.port.-1.b.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.b.5.name=BUS_6
unit.1.6.port.-1.b.5.orderindex=256
unit.1.6.port.-1.b.5.radix=Hex
unit.1.6.port.-1.b.5.signedOffset=0.0
unit.1.6.port.-1.b.5.signedPrecision=0
unit.1.6.port.-1.b.5.signedScaleFactor=1.0
unit.1.6.port.-1.b.5.tokencount=0
unit.1.6.port.-1.b.5.unsignedOffset=0.0
unit.1.6.port.-1.b.5.unsignedPrecision=0
unit.1.6.port.-1.b.5.unsignedScaleFactor=1.0
unit.1.6.port.-1.b.5.visible=1
unit.1.6.port.-1.b.6.alias=TRIG9
unit.1.6.port.-1.b.6.channellist=218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249
unit.1.6.port.-1.b.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.b.6.name=BUS_9
unit.1.6.port.-1.b.6.orderindex=259
unit.1.6.port.-1.b.6.radix=Hex
unit.1.6.port.-1.b.6.signedOffset=0.0
unit.1.6.port.-1.b.6.signedPrecision=0
unit.1.6.port.-1.b.6.signedScaleFactor=1.0
unit.1.6.port.-1.b.6.tokencount=0
unit.1.6.port.-1.b.6.unsignedOffset=0.0
unit.1.6.port.-1.b.6.unsignedPrecision=0
unit.1.6.port.-1.b.6.unsignedScaleFactor=1.0
unit.1.6.port.-1.b.6.visible=1
unit.1.6.port.-1.b.7.alias=WR_COUNTER
unit.1.6.port.-1.b.7.channellist=192 193 194 195 196 197 198 199
unit.1.6.port.-1.b.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.b.7.name=BUS_4
unit.1.6.port.-1.b.7.orderindex=254
unit.1.6.port.-1.b.7.radix=Hex
unit.1.6.port.-1.b.7.signedOffset=0.0
unit.1.6.port.-1.b.7.signedPrecision=0
unit.1.6.port.-1.b.7.signedScaleFactor=1.0
unit.1.6.port.-1.b.7.tokencount=0
unit.1.6.port.-1.b.7.unsignedOffset=0.0
unit.1.6.port.-1.b.7.unsignedPrecision=0
unit.1.6.port.-1.b.7.unsignedScaleFactor=1.0
unit.1.6.port.-1.b.7.visible=1
unit.1.6.port.-1.b.8.alias=WR_FIFO_BE
unit.1.6.port.-1.b.8.channellist=200 201 202 203 204 205 206 207
unit.1.6.port.-1.b.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.b.8.name=BUS_5
unit.1.6.port.-1.b.8.orderindex=255
unit.1.6.port.-1.b.8.radix=Hex
unit.1.6.port.-1.b.8.signedOffset=0.0
unit.1.6.port.-1.b.8.signedPrecision=0
unit.1.6.port.-1.b.8.signedScaleFactor=1.0
unit.1.6.port.-1.b.8.tokencount=0
unit.1.6.port.-1.b.8.unsignedOffset=0.0
unit.1.6.port.-1.b.8.unsignedPrecision=0
unit.1.6.port.-1.b.8.unsignedScaleFactor=1.0
unit.1.6.port.-1.b.8.visible=1
unit.1.6.port.-1.b.9.alias=WR_FSM_VALUE
unit.1.6.port.-1.b.9.channellist=212 213 214 215
unit.1.6.port.-1.b.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.b.9.name=BUS_7
unit.1.6.port.-1.b.9.orderindex=257
unit.1.6.port.-1.b.9.radix=Hex
unit.1.6.port.-1.b.9.signedOffset=0.0
unit.1.6.port.-1.b.9.signedPrecision=0
unit.1.6.port.-1.b.9.signedScaleFactor=1.0
unit.1.6.port.-1.b.9.tokencount=0
unit.1.6.port.-1.b.9.unsignedOffset=0.0
unit.1.6.port.-1.b.9.unsignedPrecision=0
unit.1.6.port.-1.b.9.unsignedScaleFactor=1.0
unit.1.6.port.-1.b.9.visible=1
unit.1.6.port.-1.buscount=10
unit.1.6.port.-1.channelcount=250
unit.1.6.port.-1.s.0.alias=
unit.1.6.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.0.name=DATA_FROM_MEM[0]
unit.1.6.port.-1.s.0.orderindex=-1
unit.1.6.port.-1.s.0.visible=1
unit.1.6.port.-1.s.1.alias=
unit.1.6.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.1.name=DATA_FROM_MEM[1]
unit.1.6.port.-1.s.1.orderindex=-1
unit.1.6.port.-1.s.1.visible=1
unit.1.6.port.-1.s.10.alias=DataPort[10]
unit.1.6.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.10.name=DATA_FROM_MEM[10]
unit.1.6.port.-1.s.10.orderindex=-1
unit.1.6.port.-1.s.10.visible=1
unit.1.6.port.-1.s.100.alias=
unit.1.6.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.100.name=DATA_TO_MEM[36]
unit.1.6.port.-1.s.100.orderindex=-1
unit.1.6.port.-1.s.100.visible=1
unit.1.6.port.-1.s.101.alias=
unit.1.6.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.101.name=DATA_TO_MEM[37]
unit.1.6.port.-1.s.101.orderindex=-1
unit.1.6.port.-1.s.101.visible=1
unit.1.6.port.-1.s.102.alias=
unit.1.6.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.102.name=DATA_TO_MEM[38]
unit.1.6.port.-1.s.102.orderindex=-1
unit.1.6.port.-1.s.102.visible=1
unit.1.6.port.-1.s.103.alias=
unit.1.6.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.103.name=DATA_TO_MEM[39]
unit.1.6.port.-1.s.103.orderindex=-1
unit.1.6.port.-1.s.103.visible=1
unit.1.6.port.-1.s.104.alias=
unit.1.6.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.104.name=DATA_TO_MEM[40]
unit.1.6.port.-1.s.104.orderindex=-1
unit.1.6.port.-1.s.104.visible=1
unit.1.6.port.-1.s.105.alias=
unit.1.6.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.105.name=DATA_TO_MEM[41]
unit.1.6.port.-1.s.105.orderindex=-1
unit.1.6.port.-1.s.105.visible=1
unit.1.6.port.-1.s.106.alias=
unit.1.6.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.106.name=DATA_TO_MEM[42]
unit.1.6.port.-1.s.106.orderindex=-1
unit.1.6.port.-1.s.106.visible=1
unit.1.6.port.-1.s.107.alias=
unit.1.6.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.107.name=DATA_TO_MEM[43]
unit.1.6.port.-1.s.107.orderindex=-1
unit.1.6.port.-1.s.107.visible=1
unit.1.6.port.-1.s.108.alias=
unit.1.6.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.108.name=DATA_TO_MEM[44]
unit.1.6.port.-1.s.108.orderindex=-1
unit.1.6.port.-1.s.108.visible=1
unit.1.6.port.-1.s.109.alias=
unit.1.6.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.109.name=DATA_TO_MEM[45]
unit.1.6.port.-1.s.109.orderindex=-1
unit.1.6.port.-1.s.109.visible=1
unit.1.6.port.-1.s.11.alias=DataPort[11]
unit.1.6.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.11.name=DATA_FROM_MEM[11]
unit.1.6.port.-1.s.11.orderindex=-1
unit.1.6.port.-1.s.11.visible=1
unit.1.6.port.-1.s.110.alias=
unit.1.6.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.110.name=DATA_TO_MEM[46]
unit.1.6.port.-1.s.110.orderindex=-1
unit.1.6.port.-1.s.110.visible=1
unit.1.6.port.-1.s.111.alias=
unit.1.6.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.111.name=DATA_TO_MEM[47]
unit.1.6.port.-1.s.111.orderindex=-1
unit.1.6.port.-1.s.111.visible=1
unit.1.6.port.-1.s.112.alias=
unit.1.6.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.112.name=DATA_TO_MEM[48]
unit.1.6.port.-1.s.112.orderindex=-1
unit.1.6.port.-1.s.112.visible=1
unit.1.6.port.-1.s.113.alias=
unit.1.6.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.113.name=DATA_TO_MEM[49]
unit.1.6.port.-1.s.113.orderindex=-1
unit.1.6.port.-1.s.113.visible=1
unit.1.6.port.-1.s.114.alias=
unit.1.6.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.114.name=DATA_TO_MEM[50]
unit.1.6.port.-1.s.114.orderindex=-1
unit.1.6.port.-1.s.114.visible=1
unit.1.6.port.-1.s.115.alias=
unit.1.6.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.115.name=DATA_TO_MEM[51]
unit.1.6.port.-1.s.115.orderindex=-1
unit.1.6.port.-1.s.115.visible=1
unit.1.6.port.-1.s.116.alias=
unit.1.6.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.116.name=DATA_TO_MEM[52]
unit.1.6.port.-1.s.116.orderindex=-1
unit.1.6.port.-1.s.116.visible=1
unit.1.6.port.-1.s.117.alias=
unit.1.6.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.117.name=DATA_TO_MEM[53]
unit.1.6.port.-1.s.117.orderindex=-1
unit.1.6.port.-1.s.117.visible=1
unit.1.6.port.-1.s.118.alias=
unit.1.6.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.118.name=DATA_TO_MEM[54]
unit.1.6.port.-1.s.118.orderindex=-1
unit.1.6.port.-1.s.118.visible=1
unit.1.6.port.-1.s.119.alias=
unit.1.6.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.119.name=DATA_TO_MEM[55]
unit.1.6.port.-1.s.119.orderindex=-1
unit.1.6.port.-1.s.119.visible=1
unit.1.6.port.-1.s.12.alias=DataPort[12]
unit.1.6.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.12.name=DATA_FROM_MEM[12]
unit.1.6.port.-1.s.12.orderindex=-1
unit.1.6.port.-1.s.12.visible=1
unit.1.6.port.-1.s.120.alias=
unit.1.6.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.120.name=DATA_TO_MEM[56]
unit.1.6.port.-1.s.120.orderindex=-1
unit.1.6.port.-1.s.120.visible=1
unit.1.6.port.-1.s.121.alias=
unit.1.6.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.121.name=DATA_TO_MEM[57]
unit.1.6.port.-1.s.121.orderindex=-1
unit.1.6.port.-1.s.121.visible=1
unit.1.6.port.-1.s.122.alias=
unit.1.6.port.-1.s.122.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.122.name=DATA_TO_MEM[58]
unit.1.6.port.-1.s.122.orderindex=-1
unit.1.6.port.-1.s.122.visible=1
unit.1.6.port.-1.s.123.alias=
unit.1.6.port.-1.s.123.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.123.name=DATA_TO_MEM[59]
unit.1.6.port.-1.s.123.orderindex=-1
unit.1.6.port.-1.s.123.visible=1
unit.1.6.port.-1.s.124.alias=
unit.1.6.port.-1.s.124.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.124.name=DATA_TO_MEM[60]
unit.1.6.port.-1.s.124.orderindex=-1
unit.1.6.port.-1.s.124.visible=1
unit.1.6.port.-1.s.125.alias=
unit.1.6.port.-1.s.125.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.125.name=DATA_TO_MEM[61]
unit.1.6.port.-1.s.125.orderindex=-1
unit.1.6.port.-1.s.125.visible=1
unit.1.6.port.-1.s.126.alias=
unit.1.6.port.-1.s.126.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.126.name=DATA_TO_MEM[62]
unit.1.6.port.-1.s.126.orderindex=-1
unit.1.6.port.-1.s.126.visible=1
unit.1.6.port.-1.s.127.alias=
unit.1.6.port.-1.s.127.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.127.name=DATA_TO_MEM[63]
unit.1.6.port.-1.s.127.orderindex=-1
unit.1.6.port.-1.s.127.visible=1
unit.1.6.port.-1.s.128.alias=MY_NPI_ADDR[0]
unit.1.6.port.-1.s.128.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.128.name=MY_NPI_ADDR[0]
unit.1.6.port.-1.s.128.orderindex=-1
unit.1.6.port.-1.s.128.visible=1
unit.1.6.port.-1.s.129.alias=MY_NPI_ADDR[1]
unit.1.6.port.-1.s.129.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.129.name=MY_NPI_ADDR[1]
unit.1.6.port.-1.s.129.orderindex=-1
unit.1.6.port.-1.s.129.visible=1
unit.1.6.port.-1.s.13.alias=DataPort[13]
unit.1.6.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.13.name=DATA_FROM_MEM[13]
unit.1.6.port.-1.s.13.orderindex=-1
unit.1.6.port.-1.s.13.visible=1
unit.1.6.port.-1.s.130.alias=MY_NPI_ADDR[2]
unit.1.6.port.-1.s.130.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.130.name=MY_NPI_ADDR[2]
unit.1.6.port.-1.s.130.orderindex=-1
unit.1.6.port.-1.s.130.visible=1
unit.1.6.port.-1.s.131.alias=MY_NPI_ADDR[3]
unit.1.6.port.-1.s.131.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.131.name=MY_NPI_ADDR[3]
unit.1.6.port.-1.s.131.orderindex=-1
unit.1.6.port.-1.s.131.visible=1
unit.1.6.port.-1.s.132.alias=NPI_DMASIZE[4]
unit.1.6.port.-1.s.132.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.132.name=MY_NPI_ADDR[4]
unit.1.6.port.-1.s.132.orderindex=-1
unit.1.6.port.-1.s.132.visible=1
unit.1.6.port.-1.s.133.alias=NPI_DMASIZE[5]
unit.1.6.port.-1.s.133.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.133.name=MY_NPI_ADDR[5]
unit.1.6.port.-1.s.133.orderindex=-1
unit.1.6.port.-1.s.133.visible=1
unit.1.6.port.-1.s.134.alias=NPI_DMASIZE[6]
unit.1.6.port.-1.s.134.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.134.name=MY_NPI_ADDR[6]
unit.1.6.port.-1.s.134.orderindex=-1
unit.1.6.port.-1.s.134.visible=1
unit.1.6.port.-1.s.135.alias=NPI_DMASIZE[7]
unit.1.6.port.-1.s.135.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.135.name=MY_NPI_ADDR[7]
unit.1.6.port.-1.s.135.orderindex=-1
unit.1.6.port.-1.s.135.visible=1
unit.1.6.port.-1.s.136.alias=NPI_DMASIZE[8]
unit.1.6.port.-1.s.136.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.136.name=MY_NPI_ADDR[8]
unit.1.6.port.-1.s.136.orderindex=-1
unit.1.6.port.-1.s.136.visible=1
unit.1.6.port.-1.s.137.alias=NPI_DMASIZE[9]
unit.1.6.port.-1.s.137.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.137.name=MY_NPI_ADDR[9]
unit.1.6.port.-1.s.137.orderindex=-1
unit.1.6.port.-1.s.137.visible=1
unit.1.6.port.-1.s.138.alias=NPI_DMASIZE[10]
unit.1.6.port.-1.s.138.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.138.name=MY_NPI_ADDR[10]
unit.1.6.port.-1.s.138.orderindex=-1
unit.1.6.port.-1.s.138.visible=1
unit.1.6.port.-1.s.139.alias=NPI_DMASIZE[11]
unit.1.6.port.-1.s.139.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.139.name=MY_NPI_ADDR[11]
unit.1.6.port.-1.s.139.orderindex=-1
unit.1.6.port.-1.s.139.visible=1
unit.1.6.port.-1.s.14.alias=DataPort[14]
unit.1.6.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.14.name=DATA_FROM_MEM[14]
unit.1.6.port.-1.s.14.orderindex=-1
unit.1.6.port.-1.s.14.visible=1
unit.1.6.port.-1.s.140.alias=MY_NPI_ADDR[12]
unit.1.6.port.-1.s.140.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.140.name=MY_NPI_ADDR[12]
unit.1.6.port.-1.s.140.orderindex=-1
unit.1.6.port.-1.s.140.visible=1
unit.1.6.port.-1.s.141.alias=MY_NPI_ADDR[13]
unit.1.6.port.-1.s.141.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.141.name=MY_NPI_ADDR[13]
unit.1.6.port.-1.s.141.orderindex=-1
unit.1.6.port.-1.s.141.visible=1
unit.1.6.port.-1.s.142.alias=MY_NPI_ADDR[14]
unit.1.6.port.-1.s.142.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.142.name=MY_NPI_ADDR[14]
unit.1.6.port.-1.s.142.orderindex=-1
unit.1.6.port.-1.s.142.visible=1
unit.1.6.port.-1.s.143.alias=MY_NPI_ADDR[15]
unit.1.6.port.-1.s.143.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.143.name=MY_NPI_ADDR[15]
unit.1.6.port.-1.s.143.orderindex=-1
unit.1.6.port.-1.s.143.visible=1
unit.1.6.port.-1.s.144.alias=
unit.1.6.port.-1.s.144.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.144.name=MY_NPI_ADDR[16]
unit.1.6.port.-1.s.144.orderindex=-1
unit.1.6.port.-1.s.144.visible=1
unit.1.6.port.-1.s.145.alias=
unit.1.6.port.-1.s.145.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.145.name=MY_NPI_ADDR[17]
unit.1.6.port.-1.s.145.orderindex=-1
unit.1.6.port.-1.s.145.visible=1
unit.1.6.port.-1.s.146.alias=
unit.1.6.port.-1.s.146.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.146.name=MY_NPI_ADDR[18]
unit.1.6.port.-1.s.146.orderindex=-1
unit.1.6.port.-1.s.146.visible=1
unit.1.6.port.-1.s.147.alias=
unit.1.6.port.-1.s.147.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.147.name=MY_NPI_ADDR[19]
unit.1.6.port.-1.s.147.orderindex=-1
unit.1.6.port.-1.s.147.visible=1
unit.1.6.port.-1.s.148.alias=
unit.1.6.port.-1.s.148.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.148.name=MY_NPI_ADDR[20]
unit.1.6.port.-1.s.148.orderindex=-1
unit.1.6.port.-1.s.148.visible=1
unit.1.6.port.-1.s.149.alias=
unit.1.6.port.-1.s.149.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.149.name=MY_NPI_ADDR[21]
unit.1.6.port.-1.s.149.orderindex=-1
unit.1.6.port.-1.s.149.visible=1
unit.1.6.port.-1.s.15.alias=DataPort[15]
unit.1.6.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.15.name=DATA_FROM_MEM[15]
unit.1.6.port.-1.s.15.orderindex=-1
unit.1.6.port.-1.s.15.visible=1
unit.1.6.port.-1.s.150.alias=
unit.1.6.port.-1.s.150.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.150.name=MY_NPI_ADDR[22]
unit.1.6.port.-1.s.150.orderindex=-1
unit.1.6.port.-1.s.150.visible=1
unit.1.6.port.-1.s.151.alias=
unit.1.6.port.-1.s.151.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.151.name=MY_NPI_ADDR[23]
unit.1.6.port.-1.s.151.orderindex=-1
unit.1.6.port.-1.s.151.visible=1
unit.1.6.port.-1.s.152.alias=
unit.1.6.port.-1.s.152.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.152.name=MY_NPI_ADDR[24]
unit.1.6.port.-1.s.152.orderindex=-1
unit.1.6.port.-1.s.152.visible=1
unit.1.6.port.-1.s.153.alias=
unit.1.6.port.-1.s.153.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.153.name=MY_NPI_ADDR[25]
unit.1.6.port.-1.s.153.orderindex=-1
unit.1.6.port.-1.s.153.visible=1
unit.1.6.port.-1.s.154.alias=
unit.1.6.port.-1.s.154.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.154.name=MY_NPI_ADDR[26]
unit.1.6.port.-1.s.154.orderindex=-1
unit.1.6.port.-1.s.154.visible=1
unit.1.6.port.-1.s.155.alias=
unit.1.6.port.-1.s.155.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.155.name=MY_NPI_ADDR[27]
unit.1.6.port.-1.s.155.orderindex=-1
unit.1.6.port.-1.s.155.visible=1
unit.1.6.port.-1.s.156.alias=
unit.1.6.port.-1.s.156.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.156.name=MY_NPI_ADDR[28]
unit.1.6.port.-1.s.156.orderindex=-1
unit.1.6.port.-1.s.156.visible=1
unit.1.6.port.-1.s.157.alias=
unit.1.6.port.-1.s.157.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.157.name=MY_NPI_ADDR[29]
unit.1.6.port.-1.s.157.orderindex=-1
unit.1.6.port.-1.s.157.visible=1
unit.1.6.port.-1.s.158.alias=
unit.1.6.port.-1.s.158.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.158.name=MY_NPI_ADDR[30]
unit.1.6.port.-1.s.158.orderindex=-1
unit.1.6.port.-1.s.158.visible=1
unit.1.6.port.-1.s.159.alias=
unit.1.6.port.-1.s.159.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.159.name=MY_NPI_ADDR[31]
unit.1.6.port.-1.s.159.orderindex=-1
unit.1.6.port.-1.s.159.visible=1
unit.1.6.port.-1.s.16.alias=DataPort[16]
unit.1.6.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.16.name=DATA_FROM_MEM[16]
unit.1.6.port.-1.s.16.orderindex=-1
unit.1.6.port.-1.s.16.visible=1
unit.1.6.port.-1.s.160.alias=NUM_RD_BYTES[0]
unit.1.6.port.-1.s.160.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.160.name=NUM_RD_BYTES[0]
unit.1.6.port.-1.s.160.orderindex=-1
unit.1.6.port.-1.s.160.visible=1
unit.1.6.port.-1.s.161.alias=NUM_RD_BYTES[1]
unit.1.6.port.-1.s.161.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.161.name=NUM_RD_BYTES[1]
unit.1.6.port.-1.s.161.orderindex=-1
unit.1.6.port.-1.s.161.visible=1
unit.1.6.port.-1.s.162.alias=NUM_RD_BYTES[2]
unit.1.6.port.-1.s.162.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.162.name=NUM_RD_BYTES[2]
unit.1.6.port.-1.s.162.orderindex=-1
unit.1.6.port.-1.s.162.visible=1
unit.1.6.port.-1.s.163.alias=NUM_RD_BYTES[3]
unit.1.6.port.-1.s.163.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.163.name=NUM_RD_BYTES[3]
unit.1.6.port.-1.s.163.orderindex=-1
unit.1.6.port.-1.s.163.visible=1
unit.1.6.port.-1.s.164.alias=NUM_RD_BYTES[4]
unit.1.6.port.-1.s.164.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.164.name=NUM_RD_BYTES[4]
unit.1.6.port.-1.s.164.orderindex=-1
unit.1.6.port.-1.s.164.visible=1
unit.1.6.port.-1.s.165.alias=NUM_RD_BYTES[5]
unit.1.6.port.-1.s.165.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.165.name=NUM_RD_BYTES[5]
unit.1.6.port.-1.s.165.orderindex=-1
unit.1.6.port.-1.s.165.visible=1
unit.1.6.port.-1.s.166.alias=NUM_RD_BYTES[6]
unit.1.6.port.-1.s.166.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.166.name=NUM_RD_BYTES[6]
unit.1.6.port.-1.s.166.orderindex=-1
unit.1.6.port.-1.s.166.visible=1
unit.1.6.port.-1.s.167.alias=NUM_RD_BYTES[7]
unit.1.6.port.-1.s.167.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.167.name=NUM_RD_BYTES[7]
unit.1.6.port.-1.s.167.orderindex=-1
unit.1.6.port.-1.s.167.visible=1
unit.1.6.port.-1.s.168.alias=NUM_RD_BYTES[8]
unit.1.6.port.-1.s.168.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.168.name=NUM_RD_BYTES[8]
unit.1.6.port.-1.s.168.orderindex=-1
unit.1.6.port.-1.s.168.visible=1
unit.1.6.port.-1.s.169.alias=NUM_RD_BYTES[9]
unit.1.6.port.-1.s.169.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.169.name=NUM_RD_BYTES[9]
unit.1.6.port.-1.s.169.orderindex=-1
unit.1.6.port.-1.s.169.visible=1
unit.1.6.port.-1.s.17.alias=DataPort[17]
unit.1.6.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.17.name=DATA_FROM_MEM[17]
unit.1.6.port.-1.s.17.orderindex=-1
unit.1.6.port.-1.s.17.visible=1
unit.1.6.port.-1.s.170.alias=NUM_RD_BYTES[10]
unit.1.6.port.-1.s.170.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.170.name=NUM_RD_BYTES[10]
unit.1.6.port.-1.s.170.orderindex=-1
unit.1.6.port.-1.s.170.visible=1
unit.1.6.port.-1.s.171.alias=NUM_RD_BYTES[11]
unit.1.6.port.-1.s.171.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.171.name=NUM_RD_BYTES[11]
unit.1.6.port.-1.s.171.orderindex=-1
unit.1.6.port.-1.s.171.visible=1
unit.1.6.port.-1.s.172.alias=NUM_RD_BYTES[12]
unit.1.6.port.-1.s.172.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.172.name=NUM_RD_BYTES[12]
unit.1.6.port.-1.s.172.orderindex=-1
unit.1.6.port.-1.s.172.visible=1
unit.1.6.port.-1.s.173.alias=NUM_RD_BYTES[13]
unit.1.6.port.-1.s.173.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.173.name=NUM_RD_BYTES[13]
unit.1.6.port.-1.s.173.orderindex=-1
unit.1.6.port.-1.s.173.visible=1
unit.1.6.port.-1.s.174.alias=NUM_RD_BYTES[14]
unit.1.6.port.-1.s.174.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.174.name=NUM_RD_BYTES[14]
unit.1.6.port.-1.s.174.orderindex=-1
unit.1.6.port.-1.s.174.visible=1
unit.1.6.port.-1.s.175.alias=NUM_RD_BYTES[15]
unit.1.6.port.-1.s.175.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.175.name=NUM_RD_BYTES[15]
unit.1.6.port.-1.s.175.orderindex=-1
unit.1.6.port.-1.s.175.visible=1
unit.1.6.port.-1.s.176.alias=NUM_RD_BYTES[16]
unit.1.6.port.-1.s.176.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.176.name=NUM_RD_BYTES[16]
unit.1.6.port.-1.s.176.orderindex=-1
unit.1.6.port.-1.s.176.visible=1
unit.1.6.port.-1.s.177.alias=NUM_RD_BYTES[17]
unit.1.6.port.-1.s.177.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.177.name=NUM_RD_BYTES[17]
unit.1.6.port.-1.s.177.orderindex=-1
unit.1.6.port.-1.s.177.visible=1
unit.1.6.port.-1.s.178.alias=NUM_RD_BYTES[18]
unit.1.6.port.-1.s.178.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.178.name=NUM_RD_BYTES[18]
unit.1.6.port.-1.s.178.orderindex=-1
unit.1.6.port.-1.s.178.visible=1
unit.1.6.port.-1.s.179.alias=NUM_RD_BYTES[19]
unit.1.6.port.-1.s.179.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.179.name=NUM_RD_BYTES[19]
unit.1.6.port.-1.s.179.orderindex=-1
unit.1.6.port.-1.s.179.visible=1
unit.1.6.port.-1.s.18.alias=DataPort[18]
unit.1.6.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.18.name=DATA_FROM_MEM[18]
unit.1.6.port.-1.s.18.orderindex=-1
unit.1.6.port.-1.s.18.visible=1
unit.1.6.port.-1.s.180.alias=NUM_RD_BYTES[20]
unit.1.6.port.-1.s.180.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.180.name=NUM_RD_BYTES[20]
unit.1.6.port.-1.s.180.orderindex=-1
unit.1.6.port.-1.s.180.visible=1
unit.1.6.port.-1.s.181.alias=NUM_RD_BYTES[21]
unit.1.6.port.-1.s.181.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.181.name=NUM_RD_BYTES[21]
unit.1.6.port.-1.s.181.orderindex=-1
unit.1.6.port.-1.s.181.visible=1
unit.1.6.port.-1.s.182.alias=NUM_RD_BYTES[22]
unit.1.6.port.-1.s.182.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.182.name=NUM_RD_BYTES[22]
unit.1.6.port.-1.s.182.orderindex=-1
unit.1.6.port.-1.s.182.visible=1
unit.1.6.port.-1.s.183.alias=NUM_RD_BYTES[23]
unit.1.6.port.-1.s.183.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.183.name=NUM_RD_BYTES[23]
unit.1.6.port.-1.s.183.orderindex=-1
unit.1.6.port.-1.s.183.visible=1
unit.1.6.port.-1.s.184.alias=NUM_RD_BYTES[24]
unit.1.6.port.-1.s.184.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.184.name=NUM_RD_BYTES[24]
unit.1.6.port.-1.s.184.orderindex=-1
unit.1.6.port.-1.s.184.visible=1
unit.1.6.port.-1.s.185.alias=NUM_RD_BYTES[25]
unit.1.6.port.-1.s.185.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.185.name=NUM_RD_BYTES[25]
unit.1.6.port.-1.s.185.orderindex=-1
unit.1.6.port.-1.s.185.visible=1
unit.1.6.port.-1.s.186.alias=NUM_RD_BYTES[26]
unit.1.6.port.-1.s.186.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.186.name=NUM_RD_BYTES[26]
unit.1.6.port.-1.s.186.orderindex=-1
unit.1.6.port.-1.s.186.visible=1
unit.1.6.port.-1.s.187.alias=NUM_RD_BYTES[27]
unit.1.6.port.-1.s.187.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.187.name=NUM_RD_BYTES[27]
unit.1.6.port.-1.s.187.orderindex=-1
unit.1.6.port.-1.s.187.visible=1
unit.1.6.port.-1.s.188.alias=NUM_RD_BYTES[28]
unit.1.6.port.-1.s.188.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.188.name=NUM_RD_BYTES[28]
unit.1.6.port.-1.s.188.orderindex=-1
unit.1.6.port.-1.s.188.visible=1
unit.1.6.port.-1.s.189.alias=NUM_RD_BYTES[29]
unit.1.6.port.-1.s.189.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.189.name=NUM_RD_BYTES[29]
unit.1.6.port.-1.s.189.orderindex=-1
unit.1.6.port.-1.s.189.visible=1
unit.1.6.port.-1.s.19.alias=DataPort[19]
unit.1.6.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.19.name=DATA_FROM_MEM[19]
unit.1.6.port.-1.s.19.orderindex=-1
unit.1.6.port.-1.s.19.visible=1
unit.1.6.port.-1.s.190.alias=NUM_RD_BYTES[30]
unit.1.6.port.-1.s.190.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.190.name=NUM_RD_BYTES[30]
unit.1.6.port.-1.s.190.orderindex=-1
unit.1.6.port.-1.s.190.visible=1
unit.1.6.port.-1.s.191.alias=NUM_RD_BYTES[31]
unit.1.6.port.-1.s.191.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.191.name=NUM_RD_BYTES[31]
unit.1.6.port.-1.s.191.orderindex=-1
unit.1.6.port.-1.s.191.visible=1
unit.1.6.port.-1.s.192.alias=WR_COUNTER[0]
unit.1.6.port.-1.s.192.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.192.name=WR_COUNTER[0]
unit.1.6.port.-1.s.192.orderindex=-1
unit.1.6.port.-1.s.192.visible=1
unit.1.6.port.-1.s.193.alias=WR_COUNTER[1]
unit.1.6.port.-1.s.193.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.193.name=WR_COUNTER[1]
unit.1.6.port.-1.s.193.orderindex=-1
unit.1.6.port.-1.s.193.visible=1
unit.1.6.port.-1.s.194.alias=WR_COUNTER[2]
unit.1.6.port.-1.s.194.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.194.name=WR_COUNTER[2]
unit.1.6.port.-1.s.194.orderindex=-1
unit.1.6.port.-1.s.194.visible=1
unit.1.6.port.-1.s.195.alias=WR_COUNTER[3]
unit.1.6.port.-1.s.195.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.195.name=WR_COUNTER[3]
unit.1.6.port.-1.s.195.orderindex=-1
unit.1.6.port.-1.s.195.visible=1
unit.1.6.port.-1.s.196.alias=XIL_NPI_WRFIFO_DATA_I[4]
unit.1.6.port.-1.s.196.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.196.name=WR_COUNTER[4]
unit.1.6.port.-1.s.196.orderindex=-1
unit.1.6.port.-1.s.196.visible=1
unit.1.6.port.-1.s.197.alias=XIL_NPI_WRFIFO_DATA_I[5]
unit.1.6.port.-1.s.197.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.197.name=WR_COUNTER[5]
unit.1.6.port.-1.s.197.orderindex=-1
unit.1.6.port.-1.s.197.visible=1
unit.1.6.port.-1.s.198.alias=XIL_NPI_WRFIFO_DATA_I[6]
unit.1.6.port.-1.s.198.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.198.name=WR_COUNTER[6]
unit.1.6.port.-1.s.198.orderindex=-1
unit.1.6.port.-1.s.198.visible=1
unit.1.6.port.-1.s.199.alias=XIL_NPI_WRFIFO_DATA_I[7]
unit.1.6.port.-1.s.199.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.199.name=WR_COUNTER[7]
unit.1.6.port.-1.s.199.orderindex=-1
unit.1.6.port.-1.s.199.visible=1
unit.1.6.port.-1.s.2.alias=
unit.1.6.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.2.name=DATA_FROM_MEM[2]
unit.1.6.port.-1.s.2.orderindex=-1
unit.1.6.port.-1.s.2.visible=1
unit.1.6.port.-1.s.20.alias=DataPort[20]
unit.1.6.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.20.name=DATA_FROM_MEM[20]
unit.1.6.port.-1.s.20.orderindex=-1
unit.1.6.port.-1.s.20.visible=1
unit.1.6.port.-1.s.200.alias=DATA[0]
unit.1.6.port.-1.s.200.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.200.name=WR_FIFO_BE[0]
unit.1.6.port.-1.s.200.orderindex=-1
unit.1.6.port.-1.s.200.visible=1
unit.1.6.port.-1.s.201.alias=DATA[1]
unit.1.6.port.-1.s.201.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.201.name=WR_FIFO_BE[1]
unit.1.6.port.-1.s.201.orderindex=-1
unit.1.6.port.-1.s.201.visible=1
unit.1.6.port.-1.s.202.alias=DATA[2]
unit.1.6.port.-1.s.202.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.202.name=WR_FIFO_BE[2]
unit.1.6.port.-1.s.202.orderindex=-1
unit.1.6.port.-1.s.202.visible=1
unit.1.6.port.-1.s.203.alias=DATA[3]
unit.1.6.port.-1.s.203.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.203.name=WR_FIFO_BE[3]
unit.1.6.port.-1.s.203.orderindex=-1
unit.1.6.port.-1.s.203.visible=1
unit.1.6.port.-1.s.204.alias=WR_FIFO_BE[4]
unit.1.6.port.-1.s.204.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.204.name=WR_FIFO_BE[4]
unit.1.6.port.-1.s.204.orderindex=-1
unit.1.6.port.-1.s.204.visible=1
unit.1.6.port.-1.s.205.alias=WR_FIFO_BE[5]
unit.1.6.port.-1.s.205.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.205.name=WR_FIFO_BE[5]
unit.1.6.port.-1.s.205.orderindex=-1
unit.1.6.port.-1.s.205.visible=1
unit.1.6.port.-1.s.206.alias=WR_FIFO_BE[6]
unit.1.6.port.-1.s.206.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.206.name=WR_FIFO_BE[6]
unit.1.6.port.-1.s.206.orderindex=-1
unit.1.6.port.-1.s.206.visible=1
unit.1.6.port.-1.s.207.alias=WR_FIFO_BE[7]
unit.1.6.port.-1.s.207.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.207.name=WR_FIFO_BE[7]
unit.1.6.port.-1.s.207.orderindex=-1
unit.1.6.port.-1.s.207.visible=1
unit.1.6.port.-1.s.208.alias=RD_FSM_VALUE[0]
unit.1.6.port.-1.s.208.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.208.name=RD_FSM_VALUE[0]
unit.1.6.port.-1.s.208.orderindex=-1
unit.1.6.port.-1.s.208.visible=1
unit.1.6.port.-1.s.209.alias=RD_FSM_VALUE[1]
unit.1.6.port.-1.s.209.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.209.name=RD_FSM_VALUE[1]
unit.1.6.port.-1.s.209.orderindex=-1
unit.1.6.port.-1.s.209.visible=1
unit.1.6.port.-1.s.21.alias=DataPort[21]
unit.1.6.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.21.name=DATA_FROM_MEM[21]
unit.1.6.port.-1.s.21.orderindex=-1
unit.1.6.port.-1.s.21.visible=1
unit.1.6.port.-1.s.210.alias=
unit.1.6.port.-1.s.210.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.210.name=RD_FSM_VALUE[2]
unit.1.6.port.-1.s.210.orderindex=-1
unit.1.6.port.-1.s.210.visible=1
unit.1.6.port.-1.s.211.alias=
unit.1.6.port.-1.s.211.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.211.name=RD_FSM_VALUE[3]
unit.1.6.port.-1.s.211.orderindex=-1
unit.1.6.port.-1.s.211.visible=1
unit.1.6.port.-1.s.212.alias=WR_FSM_VALUE[0]
unit.1.6.port.-1.s.212.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.212.name=WR_FSM_VALUE[0]
unit.1.6.port.-1.s.212.orderindex=-1
unit.1.6.port.-1.s.212.visible=1
unit.1.6.port.-1.s.213.alias=WR_FSM_VALUE[1]
unit.1.6.port.-1.s.213.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.213.name=WR_FSM_VALUE[1]
unit.1.6.port.-1.s.213.orderindex=-1
unit.1.6.port.-1.s.213.visible=1
unit.1.6.port.-1.s.214.alias=WR_FSM_VALUE[2]
unit.1.6.port.-1.s.214.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.214.name=WR_FSM_VALUE[2]
unit.1.6.port.-1.s.214.orderindex=-1
unit.1.6.port.-1.s.214.visible=1
unit.1.6.port.-1.s.215.alias=WR_FSM_VALUE[3]
unit.1.6.port.-1.s.215.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.215.name=WR_FSM_VALUE[3]
unit.1.6.port.-1.s.215.orderindex=-1
unit.1.6.port.-1.s.215.visible=1
unit.1.6.port.-1.s.216.alias=NPI_RDFIFO_LATENCY[0]
unit.1.6.port.-1.s.216.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.216.name=NPI_RDFIFO_LATENCY[0]
unit.1.6.port.-1.s.216.orderindex=-1
unit.1.6.port.-1.s.216.visible=1
unit.1.6.port.-1.s.217.alias=NPI_RDFIFO_LATENCY[1]
unit.1.6.port.-1.s.217.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.217.name=NPI_RDFIFO_LATENCY[1]
unit.1.6.port.-1.s.217.orderindex=-1
unit.1.6.port.-1.s.217.visible=1
unit.1.6.port.-1.s.218.alias=DATA_TO_MEM_WE
unit.1.6.port.-1.s.218.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.218.name=DATA_TO_MEM_WE
unit.1.6.port.-1.s.218.orderindex=-1
unit.1.6.port.-1.s.218.visible=1
unit.1.6.port.-1.s.219.alias=RD_REQ_START
unit.1.6.port.-1.s.219.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.219.name=RD_REQ_START
unit.1.6.port.-1.s.219.orderindex=-1
unit.1.6.port.-1.s.219.visible=1
unit.1.6.port.-1.s.22.alias=DataPort[22]
unit.1.6.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.22.name=DATA_FROM_MEM[22]
unit.1.6.port.-1.s.22.orderindex=-1
unit.1.6.port.-1.s.22.visible=1
unit.1.6.port.-1.s.220.alias=WR_REQ_START
unit.1.6.port.-1.s.220.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.220.name=WR_REQ_START
unit.1.6.port.-1.s.220.orderindex=-1
unit.1.6.port.-1.s.220.visible=1
unit.1.6.port.-1.s.221.alias=NPI_ADDRACK
unit.1.6.port.-1.s.221.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.221.name=NPI_ADDRACK
unit.1.6.port.-1.s.221.orderindex=-1
unit.1.6.port.-1.s.221.visible=1
unit.1.6.port.-1.s.222.alias=NPI_WRFIFO_ALMOSTFULL
unit.1.6.port.-1.s.222.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.222.name=NPI_WRFIFO_ALMOSTFULL
unit.1.6.port.-1.s.222.orderindex=-1
unit.1.6.port.-1.s.222.visible=1
unit.1.6.port.-1.s.223.alias=NPI_RDFIFO_EMPTY
unit.1.6.port.-1.s.223.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.223.name=NPI_RDFIFO_EMPTY
unit.1.6.port.-1.s.223.orderindex=-1
unit.1.6.port.-1.s.223.visible=1
unit.1.6.port.-1.s.224.alias=NPI_INITDONE
unit.1.6.port.-1.s.224.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.224.name=NPI_INITDONE
unit.1.6.port.-1.s.224.orderindex=-1
unit.1.6.port.-1.s.224.visible=1
unit.1.6.port.-1.s.225.alias=NPI_WRFIFO_EMPTY
unit.1.6.port.-1.s.225.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.225.name=NPI_WRFIFO_EMPTY
unit.1.6.port.-1.s.225.orderindex=-1
unit.1.6.port.-1.s.225.visible=1
unit.1.6.port.-1.s.226.alias=MY_NPI_ADDRREQ
unit.1.6.port.-1.s.226.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.226.name=MY_NPI_ADDRREQ
unit.1.6.port.-1.s.226.orderindex=-1
unit.1.6.port.-1.s.226.visible=1
unit.1.6.port.-1.s.227.alias=WR_FIFO_PUSH
unit.1.6.port.-1.s.227.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.227.name=WR_FIFO_PUSH
unit.1.6.port.-1.s.227.orderindex=-1
unit.1.6.port.-1.s.227.visible=1
unit.1.6.port.-1.s.228.alias=MY_NPI_RDFIFO_POP
unit.1.6.port.-1.s.228.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.228.name=MY_NPI_RDFIFO_POP
unit.1.6.port.-1.s.228.orderindex=-1
unit.1.6.port.-1.s.228.visible=1
unit.1.6.port.-1.s.229.alias=MY_NPI_RNW
unit.1.6.port.-1.s.229.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.229.name=MY_NPI_RNW
unit.1.6.port.-1.s.229.orderindex=-1
unit.1.6.port.-1.s.229.visible=1
unit.1.6.port.-1.s.23.alias=DataPort[23]
unit.1.6.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.23.name=DATA_FROM_MEM[23]
unit.1.6.port.-1.s.23.orderindex=-1
unit.1.6.port.-1.s.23.visible=1
unit.1.6.port.-1.s.230.alias=REQ_TYPE
unit.1.6.port.-1.s.230.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.230.name=REQ_TYPE
unit.1.6.port.-1.s.230.orderindex=-1
unit.1.6.port.-1.s.230.visible=1
unit.1.6.port.-1.s.231.alias=RD_REQ_DONE_OUT
unit.1.6.port.-1.s.231.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.231.name=RD_REQ_DONE_OUT
unit.1.6.port.-1.s.231.orderindex=-1
unit.1.6.port.-1.s.231.visible=1
unit.1.6.port.-1.s.232.alias=WR_REQ_DONE_OUT
unit.1.6.port.-1.s.232.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.232.name=WR_REQ_DONE_OUT
unit.1.6.port.-1.s.232.orderindex=-1
unit.1.6.port.-1.s.232.visible=1
unit.1.6.port.-1.s.233.alias=MY_NPI_RDFIFO_FLUSH
unit.1.6.port.-1.s.233.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.233.name=MY_NPI_RDFIFO_FLUSH
unit.1.6.port.-1.s.233.orderindex=-1
unit.1.6.port.-1.s.233.visible=1
unit.1.6.port.-1.s.234.alias=data_from_mem_we
unit.1.6.port.-1.s.234.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.234.name=DATA_FROM_MEM_WE
unit.1.6.port.-1.s.234.orderindex=-1
unit.1.6.port.-1.s.234.visible=1
unit.1.6.port.-1.s.235.alias=core_rfd
unit.1.6.port.-1.s.235.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.235.name=CORE_RFD
unit.1.6.port.-1.s.235.orderindex=-1
unit.1.6.port.-1.s.235.visible=1
unit.1.6.port.-1.s.236.alias=DataPort[236]
unit.1.6.port.-1.s.236.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.236.name=TRIG9[18]
unit.1.6.port.-1.s.236.orderindex=-1
unit.1.6.port.-1.s.236.visible=1
unit.1.6.port.-1.s.237.alias=DataPort[237]
unit.1.6.port.-1.s.237.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.237.name=TRIG9[19]
unit.1.6.port.-1.s.237.orderindex=-1
unit.1.6.port.-1.s.237.visible=1
unit.1.6.port.-1.s.238.alias=DataPort[238]
unit.1.6.port.-1.s.238.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.238.name=TRIG9[20]
unit.1.6.port.-1.s.238.orderindex=-1
unit.1.6.port.-1.s.238.visible=1
unit.1.6.port.-1.s.239.alias=DataPort[239]
unit.1.6.port.-1.s.239.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.239.name=TRIG9[21]
unit.1.6.port.-1.s.239.orderindex=-1
unit.1.6.port.-1.s.239.visible=1
unit.1.6.port.-1.s.24.alias=DataPort[24]
unit.1.6.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.24.name=DATA_FROM_MEM[24]
unit.1.6.port.-1.s.24.orderindex=-1
unit.1.6.port.-1.s.24.visible=1
unit.1.6.port.-1.s.240.alias=DataPort[240]
unit.1.6.port.-1.s.240.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.240.name=TRIG9[22]
unit.1.6.port.-1.s.240.orderindex=-1
unit.1.6.port.-1.s.240.visible=1
unit.1.6.port.-1.s.241.alias=DataPort[241]
unit.1.6.port.-1.s.241.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.241.name=TRIG9[23]
unit.1.6.port.-1.s.241.orderindex=-1
unit.1.6.port.-1.s.241.visible=1
unit.1.6.port.-1.s.242.alias=DataPort[242]
unit.1.6.port.-1.s.242.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.242.name=TRIG9[24]
unit.1.6.port.-1.s.242.orderindex=-1
unit.1.6.port.-1.s.242.visible=1
unit.1.6.port.-1.s.243.alias=DataPort[243]
unit.1.6.port.-1.s.243.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.243.name=TRIG9[25]
unit.1.6.port.-1.s.243.orderindex=-1
unit.1.6.port.-1.s.243.visible=1
unit.1.6.port.-1.s.244.alias=DataPort[244]
unit.1.6.port.-1.s.244.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.244.name=TRIG9[26]
unit.1.6.port.-1.s.244.orderindex=-1
unit.1.6.port.-1.s.244.visible=1
unit.1.6.port.-1.s.245.alias=DataPort[245]
unit.1.6.port.-1.s.245.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.245.name=TRIG9[27]
unit.1.6.port.-1.s.245.orderindex=-1
unit.1.6.port.-1.s.245.visible=1
unit.1.6.port.-1.s.246.alias=DataPort[246]
unit.1.6.port.-1.s.246.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.246.name=TRIG9[28]
unit.1.6.port.-1.s.246.orderindex=-1
unit.1.6.port.-1.s.246.visible=1
unit.1.6.port.-1.s.247.alias=DataPort[247]
unit.1.6.port.-1.s.247.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.247.name=TRIG9[29]
unit.1.6.port.-1.s.247.orderindex=-1
unit.1.6.port.-1.s.247.visible=1
unit.1.6.port.-1.s.248.alias=DataPort[248]
unit.1.6.port.-1.s.248.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.248.name=TRIG9[30]
unit.1.6.port.-1.s.248.orderindex=-1
unit.1.6.port.-1.s.248.visible=1
unit.1.6.port.-1.s.249.alias=DataPort[249]
unit.1.6.port.-1.s.249.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.249.name=TRIG9[31]
unit.1.6.port.-1.s.249.orderindex=-1
unit.1.6.port.-1.s.249.visible=1
unit.1.6.port.-1.s.25.alias=DataPort[25]
unit.1.6.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.25.name=DATA_FROM_MEM[25]
unit.1.6.port.-1.s.25.orderindex=-1
unit.1.6.port.-1.s.25.visible=1
unit.1.6.port.-1.s.26.alias=DataPort[26]
unit.1.6.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.26.name=DATA_FROM_MEM[26]
unit.1.6.port.-1.s.26.orderindex=-1
unit.1.6.port.-1.s.26.visible=1
unit.1.6.port.-1.s.27.alias=DataPort[27]
unit.1.6.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.27.name=DATA_FROM_MEM[27]
unit.1.6.port.-1.s.27.orderindex=-1
unit.1.6.port.-1.s.27.visible=1
unit.1.6.port.-1.s.28.alias=DataPort[28]
unit.1.6.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.28.name=DATA_FROM_MEM[28]
unit.1.6.port.-1.s.28.orderindex=-1
unit.1.6.port.-1.s.28.visible=1
unit.1.6.port.-1.s.29.alias=DataPort[29]
unit.1.6.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.29.name=DATA_FROM_MEM[29]
unit.1.6.port.-1.s.29.orderindex=-1
unit.1.6.port.-1.s.29.visible=1
unit.1.6.port.-1.s.3.alias=
unit.1.6.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.3.name=DATA_FROM_MEM[3]
unit.1.6.port.-1.s.3.orderindex=-1
unit.1.6.port.-1.s.3.visible=1
unit.1.6.port.-1.s.30.alias=DataPort[30]
unit.1.6.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.30.name=DATA_FROM_MEM[30]
unit.1.6.port.-1.s.30.orderindex=-1
unit.1.6.port.-1.s.30.visible=1
unit.1.6.port.-1.s.31.alias=DataPort[31]
unit.1.6.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.31.name=DATA_FROM_MEM[31]
unit.1.6.port.-1.s.31.orderindex=-1
unit.1.6.port.-1.s.31.visible=1
unit.1.6.port.-1.s.32.alias=DataPort[32]
unit.1.6.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.32.name=DATA_FROM_MEM[32]
unit.1.6.port.-1.s.32.orderindex=-1
unit.1.6.port.-1.s.32.visible=1
unit.1.6.port.-1.s.33.alias=DataPort[33]
unit.1.6.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.33.name=DATA_FROM_MEM[33]
unit.1.6.port.-1.s.33.orderindex=-1
unit.1.6.port.-1.s.33.visible=1
unit.1.6.port.-1.s.34.alias=DataPort[34]
unit.1.6.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.34.name=DATA_FROM_MEM[34]
unit.1.6.port.-1.s.34.orderindex=-1
unit.1.6.port.-1.s.34.visible=1
unit.1.6.port.-1.s.35.alias=DataPort[35]
unit.1.6.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.35.name=DATA_FROM_MEM[35]
unit.1.6.port.-1.s.35.orderindex=-1
unit.1.6.port.-1.s.35.visible=1
unit.1.6.port.-1.s.36.alias=DataPort[36]
unit.1.6.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.36.name=DATA_FROM_MEM[36]
unit.1.6.port.-1.s.36.orderindex=-1
unit.1.6.port.-1.s.36.visible=1
unit.1.6.port.-1.s.37.alias=DataPort[37]
unit.1.6.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.37.name=DATA_FROM_MEM[37]
unit.1.6.port.-1.s.37.orderindex=-1
unit.1.6.port.-1.s.37.visible=1
unit.1.6.port.-1.s.38.alias=DataPort[38]
unit.1.6.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.38.name=DATA_FROM_MEM[38]
unit.1.6.port.-1.s.38.orderindex=-1
unit.1.6.port.-1.s.38.visible=1
unit.1.6.port.-1.s.39.alias=DataPort[39]
unit.1.6.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.39.name=DATA_FROM_MEM[39]
unit.1.6.port.-1.s.39.orderindex=-1
unit.1.6.port.-1.s.39.visible=1
unit.1.6.port.-1.s.4.alias=DataPort[4]
unit.1.6.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.4.name=DATA_FROM_MEM[4]
unit.1.6.port.-1.s.4.orderindex=-1
unit.1.6.port.-1.s.4.visible=1
unit.1.6.port.-1.s.40.alias=DataPort[40]
unit.1.6.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.40.name=DATA_FROM_MEM[40]
unit.1.6.port.-1.s.40.orderindex=-1
unit.1.6.port.-1.s.40.visible=1
unit.1.6.port.-1.s.41.alias=DataPort[41]
unit.1.6.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.41.name=DATA_FROM_MEM[41]
unit.1.6.port.-1.s.41.orderindex=-1
unit.1.6.port.-1.s.41.visible=1
unit.1.6.port.-1.s.42.alias=DataPort[42]
unit.1.6.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.42.name=DATA_FROM_MEM[42]
unit.1.6.port.-1.s.42.orderindex=-1
unit.1.6.port.-1.s.42.visible=1
unit.1.6.port.-1.s.43.alias=DataPort[43]
unit.1.6.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.43.name=DATA_FROM_MEM[43]
unit.1.6.port.-1.s.43.orderindex=-1
unit.1.6.port.-1.s.43.visible=1
unit.1.6.port.-1.s.44.alias=DataPort[44]
unit.1.6.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.44.name=DATA_FROM_MEM[44]
unit.1.6.port.-1.s.44.orderindex=-1
unit.1.6.port.-1.s.44.visible=1
unit.1.6.port.-1.s.45.alias=DataPort[45]
unit.1.6.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.45.name=DATA_FROM_MEM[45]
unit.1.6.port.-1.s.45.orderindex=-1
unit.1.6.port.-1.s.45.visible=1
unit.1.6.port.-1.s.46.alias=DataPort[46]
unit.1.6.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.46.name=DATA_FROM_MEM[46]
unit.1.6.port.-1.s.46.orderindex=-1
unit.1.6.port.-1.s.46.visible=1
unit.1.6.port.-1.s.47.alias=DataPort[47]
unit.1.6.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.47.name=DATA_FROM_MEM[47]
unit.1.6.port.-1.s.47.orderindex=-1
unit.1.6.port.-1.s.47.visible=1
unit.1.6.port.-1.s.48.alias=DataPort[48]
unit.1.6.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.48.name=DATA_FROM_MEM[48]
unit.1.6.port.-1.s.48.orderindex=-1
unit.1.6.port.-1.s.48.visible=1
unit.1.6.port.-1.s.49.alias=DataPort[49]
unit.1.6.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.49.name=DATA_FROM_MEM[49]
unit.1.6.port.-1.s.49.orderindex=-1
unit.1.6.port.-1.s.49.visible=1
unit.1.6.port.-1.s.5.alias=DataPort[5]
unit.1.6.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.5.name=DATA_FROM_MEM[5]
unit.1.6.port.-1.s.5.orderindex=-1
unit.1.6.port.-1.s.5.visible=1
unit.1.6.port.-1.s.50.alias=DataPort[50]
unit.1.6.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.50.name=DATA_FROM_MEM[50]
unit.1.6.port.-1.s.50.orderindex=-1
unit.1.6.port.-1.s.50.visible=1
unit.1.6.port.-1.s.51.alias=DataPort[51]
unit.1.6.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.51.name=DATA_FROM_MEM[51]
unit.1.6.port.-1.s.51.orderindex=-1
unit.1.6.port.-1.s.51.visible=1
unit.1.6.port.-1.s.52.alias=DataPort[52]
unit.1.6.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.52.name=DATA_FROM_MEM[52]
unit.1.6.port.-1.s.52.orderindex=-1
unit.1.6.port.-1.s.52.visible=1
unit.1.6.port.-1.s.53.alias=DataPort[53]
unit.1.6.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.53.name=DATA_FROM_MEM[53]
unit.1.6.port.-1.s.53.orderindex=-1
unit.1.6.port.-1.s.53.visible=1
unit.1.6.port.-1.s.54.alias=DataPort[54]
unit.1.6.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.54.name=DATA_FROM_MEM[54]
unit.1.6.port.-1.s.54.orderindex=-1
unit.1.6.port.-1.s.54.visible=1
unit.1.6.port.-1.s.55.alias=DataPort[55]
unit.1.6.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.55.name=DATA_FROM_MEM[55]
unit.1.6.port.-1.s.55.orderindex=-1
unit.1.6.port.-1.s.55.visible=1
unit.1.6.port.-1.s.56.alias=DataPort[56]
unit.1.6.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.56.name=DATA_FROM_MEM[56]
unit.1.6.port.-1.s.56.orderindex=-1
unit.1.6.port.-1.s.56.visible=1
unit.1.6.port.-1.s.57.alias=DataPort[57]
unit.1.6.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.57.name=DATA_FROM_MEM[57]
unit.1.6.port.-1.s.57.orderindex=-1
unit.1.6.port.-1.s.57.visible=1
unit.1.6.port.-1.s.58.alias=DataPort[58]
unit.1.6.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.58.name=DATA_FROM_MEM[58]
unit.1.6.port.-1.s.58.orderindex=-1
unit.1.6.port.-1.s.58.visible=1
unit.1.6.port.-1.s.59.alias=DataPort[59]
unit.1.6.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.59.name=DATA_FROM_MEM[59]
unit.1.6.port.-1.s.59.orderindex=-1
unit.1.6.port.-1.s.59.visible=1
unit.1.6.port.-1.s.6.alias=DataPort[6]
unit.1.6.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.6.name=DATA_FROM_MEM[6]
unit.1.6.port.-1.s.6.orderindex=-1
unit.1.6.port.-1.s.6.visible=1
unit.1.6.port.-1.s.60.alias=DataPort[60]
unit.1.6.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.60.name=DATA_FROM_MEM[60]
unit.1.6.port.-1.s.60.orderindex=-1
unit.1.6.port.-1.s.60.visible=1
unit.1.6.port.-1.s.61.alias=DataPort[61]
unit.1.6.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.61.name=DATA_FROM_MEM[61]
unit.1.6.port.-1.s.61.orderindex=-1
unit.1.6.port.-1.s.61.visible=1
unit.1.6.port.-1.s.62.alias=DataPort[62]
unit.1.6.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.62.name=DATA_FROM_MEM[62]
unit.1.6.port.-1.s.62.orderindex=-1
unit.1.6.port.-1.s.62.visible=1
unit.1.6.port.-1.s.63.alias=DataPort[63]
unit.1.6.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.63.name=DATA_FROM_MEM[63]
unit.1.6.port.-1.s.63.orderindex=-1
unit.1.6.port.-1.s.63.visible=1
unit.1.6.port.-1.s.64.alias=NPI_DMACOUNT[0]
unit.1.6.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.64.name=DATA_TO_MEM[0]
unit.1.6.port.-1.s.64.orderindex=-1
unit.1.6.port.-1.s.64.visible=1
unit.1.6.port.-1.s.65.alias=NPI_DMACOUNT[1]
unit.1.6.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.65.name=DATA_TO_MEM[1]
unit.1.6.port.-1.s.65.orderindex=-1
unit.1.6.port.-1.s.65.visible=1
unit.1.6.port.-1.s.66.alias=NPI_DMACOUNT[2]
unit.1.6.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.66.name=DATA_TO_MEM[2]
unit.1.6.port.-1.s.66.orderindex=-1
unit.1.6.port.-1.s.66.visible=1
unit.1.6.port.-1.s.67.alias=NPI_DMACOUNT[3]
unit.1.6.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.67.name=DATA_TO_MEM[3]
unit.1.6.port.-1.s.67.orderindex=-1
unit.1.6.port.-1.s.67.visible=1
unit.1.6.port.-1.s.68.alias=NPI_DMACOUNT[4]
unit.1.6.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.68.name=DATA_TO_MEM[4]
unit.1.6.port.-1.s.68.orderindex=-1
unit.1.6.port.-1.s.68.visible=1
unit.1.6.port.-1.s.69.alias=NPI_DMACOUNT[5]
unit.1.6.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.69.name=DATA_TO_MEM[5]
unit.1.6.port.-1.s.69.orderindex=-1
unit.1.6.port.-1.s.69.visible=1
unit.1.6.port.-1.s.7.alias=DataPort[7]
unit.1.6.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.7.name=DATA_FROM_MEM[7]
unit.1.6.port.-1.s.7.orderindex=-1
unit.1.6.port.-1.s.7.visible=1
unit.1.6.port.-1.s.70.alias=NPI_DMACOUNT[6]
unit.1.6.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.70.name=DATA_TO_MEM[6]
unit.1.6.port.-1.s.70.orderindex=-1
unit.1.6.port.-1.s.70.visible=1
unit.1.6.port.-1.s.71.alias=NPI_DMACOUNT[7]
unit.1.6.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.71.name=DATA_TO_MEM[7]
unit.1.6.port.-1.s.71.orderindex=-1
unit.1.6.port.-1.s.71.visible=1
unit.1.6.port.-1.s.72.alias=NPI_DMACOUNT[8]
unit.1.6.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.72.name=DATA_TO_MEM[8]
unit.1.6.port.-1.s.72.orderindex=-1
unit.1.6.port.-1.s.72.visible=1
unit.1.6.port.-1.s.73.alias=NPI_DMACOUNT[9]
unit.1.6.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.73.name=DATA_TO_MEM[9]
unit.1.6.port.-1.s.73.orderindex=-1
unit.1.6.port.-1.s.73.visible=1
unit.1.6.port.-1.s.74.alias=NPI_DMACOUNT[10]
unit.1.6.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.74.name=DATA_TO_MEM[10]
unit.1.6.port.-1.s.74.orderindex=-1
unit.1.6.port.-1.s.74.visible=1
unit.1.6.port.-1.s.75.alias=NPI_DMACOUNT[11]
unit.1.6.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.75.name=DATA_TO_MEM[11]
unit.1.6.port.-1.s.75.orderindex=-1
unit.1.6.port.-1.s.75.visible=1
unit.1.6.port.-1.s.76.alias=DATA_TO_MEM[12]
unit.1.6.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.76.name=DATA_TO_MEM[12]
unit.1.6.port.-1.s.76.orderindex=-1
unit.1.6.port.-1.s.76.visible=1
unit.1.6.port.-1.s.77.alias=DATA_TO_MEM[13]
unit.1.6.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.77.name=DATA_TO_MEM[13]
unit.1.6.port.-1.s.77.orderindex=-1
unit.1.6.port.-1.s.77.visible=1
unit.1.6.port.-1.s.78.alias=DATA_TO_MEM[14]
unit.1.6.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.78.name=DATA_TO_MEM[14]
unit.1.6.port.-1.s.78.orderindex=-1
unit.1.6.port.-1.s.78.visible=1
unit.1.6.port.-1.s.79.alias=DATA_TO_MEM[15]
unit.1.6.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.79.name=DATA_TO_MEM[15]
unit.1.6.port.-1.s.79.orderindex=-1
unit.1.6.port.-1.s.79.visible=1
unit.1.6.port.-1.s.8.alias=DataPort[8]
unit.1.6.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.8.name=DATA_FROM_MEM[8]
unit.1.6.port.-1.s.8.orderindex=-1
unit.1.6.port.-1.s.8.visible=1
unit.1.6.port.-1.s.80.alias=
unit.1.6.port.-1.s.80.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.80.name=DATA_TO_MEM[16]
unit.1.6.port.-1.s.80.orderindex=-1
unit.1.6.port.-1.s.80.visible=1
unit.1.6.port.-1.s.81.alias=
unit.1.6.port.-1.s.81.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.81.name=DATA_TO_MEM[17]
unit.1.6.port.-1.s.81.orderindex=-1
unit.1.6.port.-1.s.81.visible=1
unit.1.6.port.-1.s.82.alias=
unit.1.6.port.-1.s.82.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.82.name=DATA_TO_MEM[18]
unit.1.6.port.-1.s.82.orderindex=-1
unit.1.6.port.-1.s.82.visible=1
unit.1.6.port.-1.s.83.alias=
unit.1.6.port.-1.s.83.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.83.name=DATA_TO_MEM[19]
unit.1.6.port.-1.s.83.orderindex=-1
unit.1.6.port.-1.s.83.visible=1
unit.1.6.port.-1.s.84.alias=
unit.1.6.port.-1.s.84.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.84.name=DATA_TO_MEM[20]
unit.1.6.port.-1.s.84.orderindex=-1
unit.1.6.port.-1.s.84.visible=1
unit.1.6.port.-1.s.85.alias=
unit.1.6.port.-1.s.85.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.85.name=DATA_TO_MEM[21]
unit.1.6.port.-1.s.85.orderindex=-1
unit.1.6.port.-1.s.85.visible=1
unit.1.6.port.-1.s.86.alias=
unit.1.6.port.-1.s.86.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.86.name=DATA_TO_MEM[22]
unit.1.6.port.-1.s.86.orderindex=-1
unit.1.6.port.-1.s.86.visible=1
unit.1.6.port.-1.s.87.alias=
unit.1.6.port.-1.s.87.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.87.name=DATA_TO_MEM[23]
unit.1.6.port.-1.s.87.orderindex=-1
unit.1.6.port.-1.s.87.visible=1
unit.1.6.port.-1.s.88.alias=
unit.1.6.port.-1.s.88.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.88.name=DATA_TO_MEM[24]
unit.1.6.port.-1.s.88.orderindex=-1
unit.1.6.port.-1.s.88.visible=1
unit.1.6.port.-1.s.89.alias=
unit.1.6.port.-1.s.89.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.89.name=DATA_TO_MEM[25]
unit.1.6.port.-1.s.89.orderindex=-1
unit.1.6.port.-1.s.89.visible=1
unit.1.6.port.-1.s.9.alias=DataPort[9]
unit.1.6.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.9.name=DATA_FROM_MEM[9]
unit.1.6.port.-1.s.9.orderindex=-1
unit.1.6.port.-1.s.9.visible=1
unit.1.6.port.-1.s.90.alias=
unit.1.6.port.-1.s.90.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.90.name=DATA_TO_MEM[26]
unit.1.6.port.-1.s.90.orderindex=-1
unit.1.6.port.-1.s.90.visible=1
unit.1.6.port.-1.s.91.alias=
unit.1.6.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.91.name=DATA_TO_MEM[27]
unit.1.6.port.-1.s.91.orderindex=-1
unit.1.6.port.-1.s.91.visible=1
unit.1.6.port.-1.s.92.alias=
unit.1.6.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.92.name=DATA_TO_MEM[28]
unit.1.6.port.-1.s.92.orderindex=-1
unit.1.6.port.-1.s.92.visible=1
unit.1.6.port.-1.s.93.alias=
unit.1.6.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.93.name=DATA_TO_MEM[29]
unit.1.6.port.-1.s.93.orderindex=-1
unit.1.6.port.-1.s.93.visible=1
unit.1.6.port.-1.s.94.alias=
unit.1.6.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.94.name=DATA_TO_MEM[30]
unit.1.6.port.-1.s.94.orderindex=-1
unit.1.6.port.-1.s.94.visible=1
unit.1.6.port.-1.s.95.alias=
unit.1.6.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.95.name=DATA_TO_MEM[31]
unit.1.6.port.-1.s.95.orderindex=-1
unit.1.6.port.-1.s.95.visible=1
unit.1.6.port.-1.s.96.alias=
unit.1.6.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.96.name=DATA_TO_MEM[32]
unit.1.6.port.-1.s.96.orderindex=-1
unit.1.6.port.-1.s.96.visible=1
unit.1.6.port.-1.s.97.alias=
unit.1.6.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.97.name=DATA_TO_MEM[33]
unit.1.6.port.-1.s.97.orderindex=-1
unit.1.6.port.-1.s.97.visible=1
unit.1.6.port.-1.s.98.alias=
unit.1.6.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.98.name=DATA_TO_MEM[34]
unit.1.6.port.-1.s.98.orderindex=-1
unit.1.6.port.-1.s.98.visible=1
unit.1.6.port.-1.s.99.alias=
unit.1.6.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.-1.s.99.name=DATA_TO_MEM[35]
unit.1.6.port.-1.s.99.orderindex=-1
unit.1.6.port.-1.s.99.visible=1
unit.1.6.port.0.b.0.alias=
unit.1.6.port.0.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63
unit.1.6.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.b.0.name=DATA_FROM_MEM
unit.1.6.port.0.b.0.orderindex=-1
unit.1.6.port.0.b.0.radix=Hex
unit.1.6.port.0.b.0.signedOffset=0.0
unit.1.6.port.0.b.0.signedPrecision=0
unit.1.6.port.0.b.0.signedScaleFactor=1.0
unit.1.6.port.0.b.0.unsignedOffset=0.0
unit.1.6.port.0.b.0.unsignedPrecision=0
unit.1.6.port.0.b.0.unsignedScaleFactor=1.0
unit.1.6.port.0.b.0.visible=1
unit.1.6.port.0.buscount=1
unit.1.6.port.0.channelcount=64
unit.1.6.port.0.s.0.alias=
unit.1.6.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.0.name=DATA_FROM_MEM[0]
unit.1.6.port.0.s.0.orderindex=-1
unit.1.6.port.0.s.0.visible=1
unit.1.6.port.0.s.1.alias=
unit.1.6.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.1.name=DATA_FROM_MEM[1]
unit.1.6.port.0.s.1.orderindex=-1
unit.1.6.port.0.s.1.visible=1
unit.1.6.port.0.s.10.alias=DataPort[10]
unit.1.6.port.0.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.10.name=DATA_FROM_MEM[10]
unit.1.6.port.0.s.10.orderindex=-1
unit.1.6.port.0.s.10.visible=1
unit.1.6.port.0.s.11.alias=DataPort[11]
unit.1.6.port.0.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.11.name=DATA_FROM_MEM[11]
unit.1.6.port.0.s.11.orderindex=-1
unit.1.6.port.0.s.11.visible=1
unit.1.6.port.0.s.12.alias=DataPort[12]
unit.1.6.port.0.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.12.name=DATA_FROM_MEM[12]
unit.1.6.port.0.s.12.orderindex=-1
unit.1.6.port.0.s.12.visible=1
unit.1.6.port.0.s.13.alias=DataPort[13]
unit.1.6.port.0.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.13.name=DATA_FROM_MEM[13]
unit.1.6.port.0.s.13.orderindex=-1
unit.1.6.port.0.s.13.visible=1
unit.1.6.port.0.s.14.alias=DataPort[14]
unit.1.6.port.0.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.14.name=DATA_FROM_MEM[14]
unit.1.6.port.0.s.14.orderindex=-1
unit.1.6.port.0.s.14.visible=1
unit.1.6.port.0.s.15.alias=DataPort[15]
unit.1.6.port.0.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.15.name=DATA_FROM_MEM[15]
unit.1.6.port.0.s.15.orderindex=-1
unit.1.6.port.0.s.15.visible=1
unit.1.6.port.0.s.16.alias=DataPort[16]
unit.1.6.port.0.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.16.name=DATA_FROM_MEM[16]
unit.1.6.port.0.s.16.orderindex=-1
unit.1.6.port.0.s.16.visible=1
unit.1.6.port.0.s.17.alias=DataPort[17]
unit.1.6.port.0.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.17.name=DATA_FROM_MEM[17]
unit.1.6.port.0.s.17.orderindex=-1
unit.1.6.port.0.s.17.visible=1
unit.1.6.port.0.s.18.alias=DataPort[18]
unit.1.6.port.0.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.18.name=DATA_FROM_MEM[18]
unit.1.6.port.0.s.18.orderindex=-1
unit.1.6.port.0.s.18.visible=1
unit.1.6.port.0.s.19.alias=DataPort[19]
unit.1.6.port.0.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.19.name=DATA_FROM_MEM[19]
unit.1.6.port.0.s.19.orderindex=-1
unit.1.6.port.0.s.19.visible=1
unit.1.6.port.0.s.2.alias=
unit.1.6.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.2.name=DATA_FROM_MEM[2]
unit.1.6.port.0.s.2.orderindex=-1
unit.1.6.port.0.s.2.visible=1
unit.1.6.port.0.s.20.alias=DataPort[20]
unit.1.6.port.0.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.20.name=DATA_FROM_MEM[20]
unit.1.6.port.0.s.20.orderindex=-1
unit.1.6.port.0.s.20.visible=1
unit.1.6.port.0.s.21.alias=DataPort[21]
unit.1.6.port.0.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.21.name=DATA_FROM_MEM[21]
unit.1.6.port.0.s.21.orderindex=-1
unit.1.6.port.0.s.21.visible=1
unit.1.6.port.0.s.22.alias=DataPort[22]
unit.1.6.port.0.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.22.name=DATA_FROM_MEM[22]
unit.1.6.port.0.s.22.orderindex=-1
unit.1.6.port.0.s.22.visible=1
unit.1.6.port.0.s.23.alias=DataPort[23]
unit.1.6.port.0.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.23.name=DATA_FROM_MEM[23]
unit.1.6.port.0.s.23.orderindex=-1
unit.1.6.port.0.s.23.visible=1
unit.1.6.port.0.s.24.alias=DataPort[24]
unit.1.6.port.0.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.24.name=DATA_FROM_MEM[24]
unit.1.6.port.0.s.24.orderindex=-1
unit.1.6.port.0.s.24.visible=1
unit.1.6.port.0.s.25.alias=DataPort[25]
unit.1.6.port.0.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.25.name=DATA_FROM_MEM[25]
unit.1.6.port.0.s.25.orderindex=-1
unit.1.6.port.0.s.25.visible=1
unit.1.6.port.0.s.26.alias=DataPort[26]
unit.1.6.port.0.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.26.name=DATA_FROM_MEM[26]
unit.1.6.port.0.s.26.orderindex=-1
unit.1.6.port.0.s.26.visible=1
unit.1.6.port.0.s.27.alias=DataPort[27]
unit.1.6.port.0.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.27.name=DATA_FROM_MEM[27]
unit.1.6.port.0.s.27.orderindex=-1
unit.1.6.port.0.s.27.visible=1
unit.1.6.port.0.s.28.alias=DataPort[28]
unit.1.6.port.0.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.28.name=DATA_FROM_MEM[28]
unit.1.6.port.0.s.28.orderindex=-1
unit.1.6.port.0.s.28.visible=1
unit.1.6.port.0.s.29.alias=DataPort[29]
unit.1.6.port.0.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.29.name=DATA_FROM_MEM[29]
unit.1.6.port.0.s.29.orderindex=-1
unit.1.6.port.0.s.29.visible=1
unit.1.6.port.0.s.3.alias=
unit.1.6.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.3.name=DATA_FROM_MEM[3]
unit.1.6.port.0.s.3.orderindex=-1
unit.1.6.port.0.s.3.visible=1
unit.1.6.port.0.s.30.alias=DataPort[30]
unit.1.6.port.0.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.30.name=DATA_FROM_MEM[30]
unit.1.6.port.0.s.30.orderindex=-1
unit.1.6.port.0.s.30.visible=1
unit.1.6.port.0.s.31.alias=DataPort[31]
unit.1.6.port.0.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.31.name=DATA_FROM_MEM[31]
unit.1.6.port.0.s.31.orderindex=-1
unit.1.6.port.0.s.31.visible=1
unit.1.6.port.0.s.32.alias=DataPort[32]
unit.1.6.port.0.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.32.name=DATA_FROM_MEM[32]
unit.1.6.port.0.s.32.orderindex=-1
unit.1.6.port.0.s.32.visible=1
unit.1.6.port.0.s.33.alias=DataPort[33]
unit.1.6.port.0.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.33.name=DATA_FROM_MEM[33]
unit.1.6.port.0.s.33.orderindex=-1
unit.1.6.port.0.s.33.visible=1
unit.1.6.port.0.s.34.alias=DataPort[34]
unit.1.6.port.0.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.34.name=DATA_FROM_MEM[34]
unit.1.6.port.0.s.34.orderindex=-1
unit.1.6.port.0.s.34.visible=1
unit.1.6.port.0.s.35.alias=DataPort[35]
unit.1.6.port.0.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.35.name=DATA_FROM_MEM[35]
unit.1.6.port.0.s.35.orderindex=-1
unit.1.6.port.0.s.35.visible=1
unit.1.6.port.0.s.36.alias=DataPort[36]
unit.1.6.port.0.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.36.name=DATA_FROM_MEM[36]
unit.1.6.port.0.s.36.orderindex=-1
unit.1.6.port.0.s.36.visible=1
unit.1.6.port.0.s.37.alias=DataPort[37]
unit.1.6.port.0.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.37.name=DATA_FROM_MEM[37]
unit.1.6.port.0.s.37.orderindex=-1
unit.1.6.port.0.s.37.visible=1
unit.1.6.port.0.s.38.alias=DataPort[38]
unit.1.6.port.0.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.38.name=DATA_FROM_MEM[38]
unit.1.6.port.0.s.38.orderindex=-1
unit.1.6.port.0.s.38.visible=1
unit.1.6.port.0.s.39.alias=DataPort[39]
unit.1.6.port.0.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.39.name=DATA_FROM_MEM[39]
unit.1.6.port.0.s.39.orderindex=-1
unit.1.6.port.0.s.39.visible=1
unit.1.6.port.0.s.4.alias=DataPort[4]
unit.1.6.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.4.name=DATA_FROM_MEM[4]
unit.1.6.port.0.s.4.orderindex=-1
unit.1.6.port.0.s.4.visible=1
unit.1.6.port.0.s.40.alias=DataPort[40]
unit.1.6.port.0.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.40.name=DATA_FROM_MEM[40]
unit.1.6.port.0.s.40.orderindex=-1
unit.1.6.port.0.s.40.visible=1
unit.1.6.port.0.s.41.alias=DataPort[41]
unit.1.6.port.0.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.41.name=DATA_FROM_MEM[41]
unit.1.6.port.0.s.41.orderindex=-1
unit.1.6.port.0.s.41.visible=1
unit.1.6.port.0.s.42.alias=DataPort[42]
unit.1.6.port.0.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.42.name=DATA_FROM_MEM[42]
unit.1.6.port.0.s.42.orderindex=-1
unit.1.6.port.0.s.42.visible=1
unit.1.6.port.0.s.43.alias=DataPort[43]
unit.1.6.port.0.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.43.name=DATA_FROM_MEM[43]
unit.1.6.port.0.s.43.orderindex=-1
unit.1.6.port.0.s.43.visible=1
unit.1.6.port.0.s.44.alias=DataPort[44]
unit.1.6.port.0.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.44.name=DATA_FROM_MEM[44]
unit.1.6.port.0.s.44.orderindex=-1
unit.1.6.port.0.s.44.visible=1
unit.1.6.port.0.s.45.alias=DataPort[45]
unit.1.6.port.0.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.45.name=DATA_FROM_MEM[45]
unit.1.6.port.0.s.45.orderindex=-1
unit.1.6.port.0.s.45.visible=1
unit.1.6.port.0.s.46.alias=DataPort[46]
unit.1.6.port.0.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.46.name=DATA_FROM_MEM[46]
unit.1.6.port.0.s.46.orderindex=-1
unit.1.6.port.0.s.46.visible=1
unit.1.6.port.0.s.47.alias=DataPort[47]
unit.1.6.port.0.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.47.name=DATA_FROM_MEM[47]
unit.1.6.port.0.s.47.orderindex=-1
unit.1.6.port.0.s.47.visible=1
unit.1.6.port.0.s.48.alias=DataPort[48]
unit.1.6.port.0.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.48.name=DATA_FROM_MEM[48]
unit.1.6.port.0.s.48.orderindex=-1
unit.1.6.port.0.s.48.visible=1
unit.1.6.port.0.s.49.alias=DataPort[49]
unit.1.6.port.0.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.49.name=DATA_FROM_MEM[49]
unit.1.6.port.0.s.49.orderindex=-1
unit.1.6.port.0.s.49.visible=1
unit.1.6.port.0.s.5.alias=DataPort[5]
unit.1.6.port.0.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.5.name=DATA_FROM_MEM[5]
unit.1.6.port.0.s.5.orderindex=-1
unit.1.6.port.0.s.5.visible=1
unit.1.6.port.0.s.50.alias=DataPort[50]
unit.1.6.port.0.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.50.name=DATA_FROM_MEM[50]
unit.1.6.port.0.s.50.orderindex=-1
unit.1.6.port.0.s.50.visible=1
unit.1.6.port.0.s.51.alias=DataPort[51]
unit.1.6.port.0.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.51.name=DATA_FROM_MEM[51]
unit.1.6.port.0.s.51.orderindex=-1
unit.1.6.port.0.s.51.visible=1
unit.1.6.port.0.s.52.alias=DataPort[52]
unit.1.6.port.0.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.52.name=DATA_FROM_MEM[52]
unit.1.6.port.0.s.52.orderindex=-1
unit.1.6.port.0.s.52.visible=1
unit.1.6.port.0.s.53.alias=DataPort[53]
unit.1.6.port.0.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.53.name=DATA_FROM_MEM[53]
unit.1.6.port.0.s.53.orderindex=-1
unit.1.6.port.0.s.53.visible=1
unit.1.6.port.0.s.54.alias=DataPort[54]
unit.1.6.port.0.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.54.name=DATA_FROM_MEM[54]
unit.1.6.port.0.s.54.orderindex=-1
unit.1.6.port.0.s.54.visible=1
unit.1.6.port.0.s.55.alias=DataPort[55]
unit.1.6.port.0.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.55.name=DATA_FROM_MEM[55]
unit.1.6.port.0.s.55.orderindex=-1
unit.1.6.port.0.s.55.visible=1
unit.1.6.port.0.s.56.alias=DataPort[56]
unit.1.6.port.0.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.56.name=DATA_FROM_MEM[56]
unit.1.6.port.0.s.56.orderindex=-1
unit.1.6.port.0.s.56.visible=1
unit.1.6.port.0.s.57.alias=DataPort[57]
unit.1.6.port.0.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.57.name=DATA_FROM_MEM[57]
unit.1.6.port.0.s.57.orderindex=-1
unit.1.6.port.0.s.57.visible=1
unit.1.6.port.0.s.58.alias=DataPort[58]
unit.1.6.port.0.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.58.name=DATA_FROM_MEM[58]
unit.1.6.port.0.s.58.orderindex=-1
unit.1.6.port.0.s.58.visible=1
unit.1.6.port.0.s.59.alias=DataPort[59]
unit.1.6.port.0.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.59.name=DATA_FROM_MEM[59]
unit.1.6.port.0.s.59.orderindex=-1
unit.1.6.port.0.s.59.visible=1
unit.1.6.port.0.s.6.alias=DataPort[6]
unit.1.6.port.0.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.6.name=DATA_FROM_MEM[6]
unit.1.6.port.0.s.6.orderindex=-1
unit.1.6.port.0.s.6.visible=1
unit.1.6.port.0.s.60.alias=DataPort[60]
unit.1.6.port.0.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.60.name=DATA_FROM_MEM[60]
unit.1.6.port.0.s.60.orderindex=-1
unit.1.6.port.0.s.60.visible=1
unit.1.6.port.0.s.61.alias=DataPort[61]
unit.1.6.port.0.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.61.name=DATA_FROM_MEM[61]
unit.1.6.port.0.s.61.orderindex=-1
unit.1.6.port.0.s.61.visible=1
unit.1.6.port.0.s.62.alias=DataPort[62]
unit.1.6.port.0.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.62.name=DATA_FROM_MEM[62]
unit.1.6.port.0.s.62.orderindex=-1
unit.1.6.port.0.s.62.visible=1
unit.1.6.port.0.s.63.alias=DataPort[63]
unit.1.6.port.0.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.63.name=DATA_FROM_MEM[63]
unit.1.6.port.0.s.63.orderindex=-1
unit.1.6.port.0.s.63.visible=1
unit.1.6.port.0.s.7.alias=DataPort[7]
unit.1.6.port.0.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.7.name=DATA_FROM_MEM[7]
unit.1.6.port.0.s.7.orderindex=-1
unit.1.6.port.0.s.7.visible=1
unit.1.6.port.0.s.8.alias=DataPort[8]
unit.1.6.port.0.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.8.name=DATA_FROM_MEM[8]
unit.1.6.port.0.s.8.orderindex=-1
unit.1.6.port.0.s.8.visible=1
unit.1.6.port.0.s.9.alias=DataPort[9]
unit.1.6.port.0.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.0.s.9.name=DATA_FROM_MEM[9]
unit.1.6.port.0.s.9.orderindex=-1
unit.1.6.port.0.s.9.visible=1
unit.1.6.port.1.b.0.alias=
unit.1.6.port.1.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63
unit.1.6.port.1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.b.0.name=DATA_TO_MEM
unit.1.6.port.1.b.0.orderindex=-1
unit.1.6.port.1.b.0.radix=Hex
unit.1.6.port.1.b.0.signedOffset=0.0
unit.1.6.port.1.b.0.signedPrecision=0
unit.1.6.port.1.b.0.signedScaleFactor=1.0
unit.1.6.port.1.b.0.unsignedOffset=0.0
unit.1.6.port.1.b.0.unsignedPrecision=0
unit.1.6.port.1.b.0.unsignedScaleFactor=1.0
unit.1.6.port.1.b.0.visible=1
unit.1.6.port.1.buscount=1
unit.1.6.port.1.channelcount=64
unit.1.6.port.1.s.0.alias=NPI_DMACOUNT[0]
unit.1.6.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.0.name=DATA_TO_MEM[0]
unit.1.6.port.1.s.0.orderindex=-1
unit.1.6.port.1.s.0.visible=1
unit.1.6.port.1.s.1.alias=NPI_DMACOUNT[1]
unit.1.6.port.1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.1.name=DATA_TO_MEM[1]
unit.1.6.port.1.s.1.orderindex=-1
unit.1.6.port.1.s.1.visible=1
unit.1.6.port.1.s.10.alias=NPI_DMACOUNT[10]
unit.1.6.port.1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.10.name=DATA_TO_MEM[10]
unit.1.6.port.1.s.10.orderindex=-1
unit.1.6.port.1.s.10.visible=1
unit.1.6.port.1.s.11.alias=NPI_DMACOUNT[11]
unit.1.6.port.1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.11.name=DATA_TO_MEM[11]
unit.1.6.port.1.s.11.orderindex=-1
unit.1.6.port.1.s.11.visible=1
unit.1.6.port.1.s.12.alias=
unit.1.6.port.1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.12.name=DATA_TO_MEM[12]
unit.1.6.port.1.s.12.orderindex=-1
unit.1.6.port.1.s.12.visible=1
unit.1.6.port.1.s.13.alias=
unit.1.6.port.1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.13.name=DATA_TO_MEM[13]
unit.1.6.port.1.s.13.orderindex=-1
unit.1.6.port.1.s.13.visible=1
unit.1.6.port.1.s.14.alias=
unit.1.6.port.1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.14.name=DATA_TO_MEM[14]
unit.1.6.port.1.s.14.orderindex=-1
unit.1.6.port.1.s.14.visible=1
unit.1.6.port.1.s.15.alias=
unit.1.6.port.1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.15.name=DATA_TO_MEM[15]
unit.1.6.port.1.s.15.orderindex=-1
unit.1.6.port.1.s.15.visible=1
unit.1.6.port.1.s.16.alias=
unit.1.6.port.1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.16.name=DATA_TO_MEM[16]
unit.1.6.port.1.s.16.orderindex=-1
unit.1.6.port.1.s.16.visible=1
unit.1.6.port.1.s.17.alias=
unit.1.6.port.1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.17.name=DATA_TO_MEM[17]
unit.1.6.port.1.s.17.orderindex=-1
unit.1.6.port.1.s.17.visible=1
unit.1.6.port.1.s.18.alias=
unit.1.6.port.1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.18.name=DATA_TO_MEM[18]
unit.1.6.port.1.s.18.orderindex=-1
unit.1.6.port.1.s.18.visible=1
unit.1.6.port.1.s.19.alias=
unit.1.6.port.1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.19.name=DATA_TO_MEM[19]
unit.1.6.port.1.s.19.orderindex=-1
unit.1.6.port.1.s.19.visible=1
unit.1.6.port.1.s.2.alias=NPI_DMACOUNT[2]
unit.1.6.port.1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.2.name=DATA_TO_MEM[2]
unit.1.6.port.1.s.2.orderindex=-1
unit.1.6.port.1.s.2.visible=1
unit.1.6.port.1.s.20.alias=
unit.1.6.port.1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.20.name=DATA_TO_MEM[20]
unit.1.6.port.1.s.20.orderindex=-1
unit.1.6.port.1.s.20.visible=1
unit.1.6.port.1.s.21.alias=
unit.1.6.port.1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.21.name=DATA_TO_MEM[21]
unit.1.6.port.1.s.21.orderindex=-1
unit.1.6.port.1.s.21.visible=1
unit.1.6.port.1.s.22.alias=
unit.1.6.port.1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.22.name=DATA_TO_MEM[22]
unit.1.6.port.1.s.22.orderindex=-1
unit.1.6.port.1.s.22.visible=1
unit.1.6.port.1.s.23.alias=
unit.1.6.port.1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.23.name=DATA_TO_MEM[23]
unit.1.6.port.1.s.23.orderindex=-1
unit.1.6.port.1.s.23.visible=1
unit.1.6.port.1.s.24.alias=
unit.1.6.port.1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.24.name=DATA_TO_MEM[24]
unit.1.6.port.1.s.24.orderindex=-1
unit.1.6.port.1.s.24.visible=1
unit.1.6.port.1.s.25.alias=
unit.1.6.port.1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.25.name=DATA_TO_MEM[25]
unit.1.6.port.1.s.25.orderindex=-1
unit.1.6.port.1.s.25.visible=1
unit.1.6.port.1.s.26.alias=
unit.1.6.port.1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.26.name=DATA_TO_MEM[26]
unit.1.6.port.1.s.26.orderindex=-1
unit.1.6.port.1.s.26.visible=1
unit.1.6.port.1.s.27.alias=
unit.1.6.port.1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.27.name=DATA_TO_MEM[27]
unit.1.6.port.1.s.27.orderindex=-1
unit.1.6.port.1.s.27.visible=1
unit.1.6.port.1.s.28.alias=
unit.1.6.port.1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.28.name=DATA_TO_MEM[28]
unit.1.6.port.1.s.28.orderindex=-1
unit.1.6.port.1.s.28.visible=1
unit.1.6.port.1.s.29.alias=
unit.1.6.port.1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.29.name=DATA_TO_MEM[29]
unit.1.6.port.1.s.29.orderindex=-1
unit.1.6.port.1.s.29.visible=1
unit.1.6.port.1.s.3.alias=NPI_DMACOUNT[3]
unit.1.6.port.1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.3.name=DATA_TO_MEM[3]
unit.1.6.port.1.s.3.orderindex=-1
unit.1.6.port.1.s.3.visible=1
unit.1.6.port.1.s.30.alias=
unit.1.6.port.1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.30.name=DATA_TO_MEM[30]
unit.1.6.port.1.s.30.orderindex=-1
unit.1.6.port.1.s.30.visible=1
unit.1.6.port.1.s.31.alias=
unit.1.6.port.1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.31.name=DATA_TO_MEM[31]
unit.1.6.port.1.s.31.orderindex=-1
unit.1.6.port.1.s.31.visible=1
unit.1.6.port.1.s.32.alias=
unit.1.6.port.1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.32.name=DATA_TO_MEM[32]
unit.1.6.port.1.s.32.orderindex=-1
unit.1.6.port.1.s.32.visible=1
unit.1.6.port.1.s.33.alias=
unit.1.6.port.1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.33.name=DATA_TO_MEM[33]
unit.1.6.port.1.s.33.orderindex=-1
unit.1.6.port.1.s.33.visible=1
unit.1.6.port.1.s.34.alias=
unit.1.6.port.1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.34.name=DATA_TO_MEM[34]
unit.1.6.port.1.s.34.orderindex=-1
unit.1.6.port.1.s.34.visible=1
unit.1.6.port.1.s.35.alias=
unit.1.6.port.1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.35.name=DATA_TO_MEM[35]
unit.1.6.port.1.s.35.orderindex=-1
unit.1.6.port.1.s.35.visible=1
unit.1.6.port.1.s.36.alias=
unit.1.6.port.1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.36.name=DATA_TO_MEM[36]
unit.1.6.port.1.s.36.orderindex=-1
unit.1.6.port.1.s.36.visible=1
unit.1.6.port.1.s.37.alias=
unit.1.6.port.1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.37.name=DATA_TO_MEM[37]
unit.1.6.port.1.s.37.orderindex=-1
unit.1.6.port.1.s.37.visible=1
unit.1.6.port.1.s.38.alias=
unit.1.6.port.1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.38.name=DATA_TO_MEM[38]
unit.1.6.port.1.s.38.orderindex=-1
unit.1.6.port.1.s.38.visible=1
unit.1.6.port.1.s.39.alias=
unit.1.6.port.1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.39.name=DATA_TO_MEM[39]
unit.1.6.port.1.s.39.orderindex=-1
unit.1.6.port.1.s.39.visible=1
unit.1.6.port.1.s.4.alias=NPI_DMACOUNT[4]
unit.1.6.port.1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.4.name=DATA_TO_MEM[4]
unit.1.6.port.1.s.4.orderindex=-1
unit.1.6.port.1.s.4.visible=1
unit.1.6.port.1.s.40.alias=
unit.1.6.port.1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.40.name=DATA_TO_MEM[40]
unit.1.6.port.1.s.40.orderindex=-1
unit.1.6.port.1.s.40.visible=1
unit.1.6.port.1.s.41.alias=
unit.1.6.port.1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.41.name=DATA_TO_MEM[41]
unit.1.6.port.1.s.41.orderindex=-1
unit.1.6.port.1.s.41.visible=1
unit.1.6.port.1.s.42.alias=
unit.1.6.port.1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.42.name=DATA_TO_MEM[42]
unit.1.6.port.1.s.42.orderindex=-1
unit.1.6.port.1.s.42.visible=1
unit.1.6.port.1.s.43.alias=
unit.1.6.port.1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.43.name=DATA_TO_MEM[43]
unit.1.6.port.1.s.43.orderindex=-1
unit.1.6.port.1.s.43.visible=1
unit.1.6.port.1.s.44.alias=
unit.1.6.port.1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.44.name=DATA_TO_MEM[44]
unit.1.6.port.1.s.44.orderindex=-1
unit.1.6.port.1.s.44.visible=1
unit.1.6.port.1.s.45.alias=
unit.1.6.port.1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.45.name=DATA_TO_MEM[45]
unit.1.6.port.1.s.45.orderindex=-1
unit.1.6.port.1.s.45.visible=1
unit.1.6.port.1.s.46.alias=
unit.1.6.port.1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.46.name=DATA_TO_MEM[46]
unit.1.6.port.1.s.46.orderindex=-1
unit.1.6.port.1.s.46.visible=1
unit.1.6.port.1.s.47.alias=
unit.1.6.port.1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.47.name=DATA_TO_MEM[47]
unit.1.6.port.1.s.47.orderindex=-1
unit.1.6.port.1.s.47.visible=1
unit.1.6.port.1.s.48.alias=
unit.1.6.port.1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.48.name=DATA_TO_MEM[48]
unit.1.6.port.1.s.48.orderindex=-1
unit.1.6.port.1.s.48.visible=1
unit.1.6.port.1.s.49.alias=
unit.1.6.port.1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.49.name=DATA_TO_MEM[49]
unit.1.6.port.1.s.49.orderindex=-1
unit.1.6.port.1.s.49.visible=1
unit.1.6.port.1.s.5.alias=NPI_DMACOUNT[5]
unit.1.6.port.1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.5.name=DATA_TO_MEM[5]
unit.1.6.port.1.s.5.orderindex=-1
unit.1.6.port.1.s.5.visible=1
unit.1.6.port.1.s.50.alias=
unit.1.6.port.1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.50.name=DATA_TO_MEM[50]
unit.1.6.port.1.s.50.orderindex=-1
unit.1.6.port.1.s.50.visible=1
unit.1.6.port.1.s.51.alias=
unit.1.6.port.1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.51.name=DATA_TO_MEM[51]
unit.1.6.port.1.s.51.orderindex=-1
unit.1.6.port.1.s.51.visible=1
unit.1.6.port.1.s.52.alias=
unit.1.6.port.1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.52.name=DATA_TO_MEM[52]
unit.1.6.port.1.s.52.orderindex=-1
unit.1.6.port.1.s.52.visible=1
unit.1.6.port.1.s.53.alias=
unit.1.6.port.1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.53.name=DATA_TO_MEM[53]
unit.1.6.port.1.s.53.orderindex=-1
unit.1.6.port.1.s.53.visible=1
unit.1.6.port.1.s.54.alias=
unit.1.6.port.1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.54.name=DATA_TO_MEM[54]
unit.1.6.port.1.s.54.orderindex=-1
unit.1.6.port.1.s.54.visible=1
unit.1.6.port.1.s.55.alias=
unit.1.6.port.1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.55.name=DATA_TO_MEM[55]
unit.1.6.port.1.s.55.orderindex=-1
unit.1.6.port.1.s.55.visible=1
unit.1.6.port.1.s.56.alias=
unit.1.6.port.1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.56.name=DATA_TO_MEM[56]
unit.1.6.port.1.s.56.orderindex=-1
unit.1.6.port.1.s.56.visible=1
unit.1.6.port.1.s.57.alias=
unit.1.6.port.1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.57.name=DATA_TO_MEM[57]
unit.1.6.port.1.s.57.orderindex=-1
unit.1.6.port.1.s.57.visible=1
unit.1.6.port.1.s.58.alias=
unit.1.6.port.1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.58.name=DATA_TO_MEM[58]
unit.1.6.port.1.s.58.orderindex=-1
unit.1.6.port.1.s.58.visible=1
unit.1.6.port.1.s.59.alias=
unit.1.6.port.1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.59.name=DATA_TO_MEM[59]
unit.1.6.port.1.s.59.orderindex=-1
unit.1.6.port.1.s.59.visible=1
unit.1.6.port.1.s.6.alias=NPI_DMACOUNT[6]
unit.1.6.port.1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.6.name=DATA_TO_MEM[6]
unit.1.6.port.1.s.6.orderindex=-1
unit.1.6.port.1.s.6.visible=1
unit.1.6.port.1.s.60.alias=
unit.1.6.port.1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.60.name=DATA_TO_MEM[60]
unit.1.6.port.1.s.60.orderindex=-1
unit.1.6.port.1.s.60.visible=1
unit.1.6.port.1.s.61.alias=
unit.1.6.port.1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.61.name=DATA_TO_MEM[61]
unit.1.6.port.1.s.61.orderindex=-1
unit.1.6.port.1.s.61.visible=1
unit.1.6.port.1.s.62.alias=
unit.1.6.port.1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.62.name=DATA_TO_MEM[62]
unit.1.6.port.1.s.62.orderindex=-1
unit.1.6.port.1.s.62.visible=1
unit.1.6.port.1.s.63.alias=
unit.1.6.port.1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.63.name=DATA_TO_MEM[63]
unit.1.6.port.1.s.63.orderindex=-1
unit.1.6.port.1.s.63.visible=1
unit.1.6.port.1.s.7.alias=NPI_DMACOUNT[7]
unit.1.6.port.1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.7.name=DATA_TO_MEM[7]
unit.1.6.port.1.s.7.orderindex=-1
unit.1.6.port.1.s.7.visible=1
unit.1.6.port.1.s.8.alias=NPI_DMACOUNT[8]
unit.1.6.port.1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.8.name=DATA_TO_MEM[8]
unit.1.6.port.1.s.8.orderindex=-1
unit.1.6.port.1.s.8.visible=1
unit.1.6.port.1.s.9.alias=NPI_DMACOUNT[9]
unit.1.6.port.1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.1.s.9.name=DATA_TO_MEM[9]
unit.1.6.port.1.s.9.orderindex=-1
unit.1.6.port.1.s.9.visible=1
unit.1.6.port.2.b.0.alias=
unit.1.6.port.2.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.6.port.2.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.b.0.name=MY_NPI_ADDR
unit.1.6.port.2.b.0.orderindex=-1
unit.1.6.port.2.b.0.radix=Hex
unit.1.6.port.2.b.0.signedOffset=0.0
unit.1.6.port.2.b.0.signedPrecision=0
unit.1.6.port.2.b.0.signedScaleFactor=1.0
unit.1.6.port.2.b.0.unsignedOffset=0.0
unit.1.6.port.2.b.0.unsignedPrecision=0
unit.1.6.port.2.b.0.unsignedScaleFactor=1.0
unit.1.6.port.2.b.0.visible=1
unit.1.6.port.2.buscount=1
unit.1.6.port.2.channelcount=32
unit.1.6.port.2.s.0.alias=
unit.1.6.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.0.name=MY_NPI_ADDR[0]
unit.1.6.port.2.s.0.orderindex=-1
unit.1.6.port.2.s.0.visible=1
unit.1.6.port.2.s.1.alias=
unit.1.6.port.2.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.1.name=MY_NPI_ADDR[1]
unit.1.6.port.2.s.1.orderindex=-1
unit.1.6.port.2.s.1.visible=1
unit.1.6.port.2.s.10.alias=NPI_DMASIZE[10]
unit.1.6.port.2.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.10.name=MY_NPI_ADDR[10]
unit.1.6.port.2.s.10.orderindex=-1
unit.1.6.port.2.s.10.visible=1
unit.1.6.port.2.s.11.alias=NPI_DMASIZE[11]
unit.1.6.port.2.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.11.name=MY_NPI_ADDR[11]
unit.1.6.port.2.s.11.orderindex=-1
unit.1.6.port.2.s.11.visible=1
unit.1.6.port.2.s.12.alias=
unit.1.6.port.2.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.12.name=MY_NPI_ADDR[12]
unit.1.6.port.2.s.12.orderindex=-1
unit.1.6.port.2.s.12.visible=1
unit.1.6.port.2.s.13.alias=
unit.1.6.port.2.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.13.name=MY_NPI_ADDR[13]
unit.1.6.port.2.s.13.orderindex=-1
unit.1.6.port.2.s.13.visible=1
unit.1.6.port.2.s.14.alias=
unit.1.6.port.2.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.14.name=MY_NPI_ADDR[14]
unit.1.6.port.2.s.14.orderindex=-1
unit.1.6.port.2.s.14.visible=1
unit.1.6.port.2.s.15.alias=
unit.1.6.port.2.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.15.name=MY_NPI_ADDR[15]
unit.1.6.port.2.s.15.orderindex=-1
unit.1.6.port.2.s.15.visible=1
unit.1.6.port.2.s.16.alias=
unit.1.6.port.2.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.16.name=MY_NPI_ADDR[16]
unit.1.6.port.2.s.16.orderindex=-1
unit.1.6.port.2.s.16.visible=1
unit.1.6.port.2.s.17.alias=
unit.1.6.port.2.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.17.name=MY_NPI_ADDR[17]
unit.1.6.port.2.s.17.orderindex=-1
unit.1.6.port.2.s.17.visible=1
unit.1.6.port.2.s.18.alias=
unit.1.6.port.2.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.18.name=MY_NPI_ADDR[18]
unit.1.6.port.2.s.18.orderindex=-1
unit.1.6.port.2.s.18.visible=1
unit.1.6.port.2.s.19.alias=
unit.1.6.port.2.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.19.name=MY_NPI_ADDR[19]
unit.1.6.port.2.s.19.orderindex=-1
unit.1.6.port.2.s.19.visible=1
unit.1.6.port.2.s.2.alias=
unit.1.6.port.2.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.2.name=MY_NPI_ADDR[2]
unit.1.6.port.2.s.2.orderindex=-1
unit.1.6.port.2.s.2.visible=1
unit.1.6.port.2.s.20.alias=
unit.1.6.port.2.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.20.name=MY_NPI_ADDR[20]
unit.1.6.port.2.s.20.orderindex=-1
unit.1.6.port.2.s.20.visible=1
unit.1.6.port.2.s.21.alias=
unit.1.6.port.2.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.21.name=MY_NPI_ADDR[21]
unit.1.6.port.2.s.21.orderindex=-1
unit.1.6.port.2.s.21.visible=1
unit.1.6.port.2.s.22.alias=
unit.1.6.port.2.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.22.name=MY_NPI_ADDR[22]
unit.1.6.port.2.s.22.orderindex=-1
unit.1.6.port.2.s.22.visible=1
unit.1.6.port.2.s.23.alias=
unit.1.6.port.2.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.23.name=MY_NPI_ADDR[23]
unit.1.6.port.2.s.23.orderindex=-1
unit.1.6.port.2.s.23.visible=1
unit.1.6.port.2.s.24.alias=
unit.1.6.port.2.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.24.name=MY_NPI_ADDR[24]
unit.1.6.port.2.s.24.orderindex=-1
unit.1.6.port.2.s.24.visible=1
unit.1.6.port.2.s.25.alias=
unit.1.6.port.2.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.25.name=MY_NPI_ADDR[25]
unit.1.6.port.2.s.25.orderindex=-1
unit.1.6.port.2.s.25.visible=1
unit.1.6.port.2.s.26.alias=
unit.1.6.port.2.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.26.name=MY_NPI_ADDR[26]
unit.1.6.port.2.s.26.orderindex=-1
unit.1.6.port.2.s.26.visible=1
unit.1.6.port.2.s.27.alias=
unit.1.6.port.2.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.27.name=MY_NPI_ADDR[27]
unit.1.6.port.2.s.27.orderindex=-1
unit.1.6.port.2.s.27.visible=1
unit.1.6.port.2.s.28.alias=
unit.1.6.port.2.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.28.name=MY_NPI_ADDR[28]
unit.1.6.port.2.s.28.orderindex=-1
unit.1.6.port.2.s.28.visible=1
unit.1.6.port.2.s.29.alias=
unit.1.6.port.2.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.29.name=MY_NPI_ADDR[29]
unit.1.6.port.2.s.29.orderindex=-1
unit.1.6.port.2.s.29.visible=1
unit.1.6.port.2.s.3.alias=
unit.1.6.port.2.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.3.name=MY_NPI_ADDR[3]
unit.1.6.port.2.s.3.orderindex=-1
unit.1.6.port.2.s.3.visible=1
unit.1.6.port.2.s.30.alias=
unit.1.6.port.2.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.30.name=MY_NPI_ADDR[30]
unit.1.6.port.2.s.30.orderindex=-1
unit.1.6.port.2.s.30.visible=1
unit.1.6.port.2.s.31.alias=
unit.1.6.port.2.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.31.name=MY_NPI_ADDR[31]
unit.1.6.port.2.s.31.orderindex=-1
unit.1.6.port.2.s.31.visible=1
unit.1.6.port.2.s.4.alias=NPI_DMASIZE[4]
unit.1.6.port.2.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.4.name=MY_NPI_ADDR[4]
unit.1.6.port.2.s.4.orderindex=-1
unit.1.6.port.2.s.4.visible=1
unit.1.6.port.2.s.5.alias=NPI_DMASIZE[5]
unit.1.6.port.2.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.5.name=MY_NPI_ADDR[5]
unit.1.6.port.2.s.5.orderindex=-1
unit.1.6.port.2.s.5.visible=1
unit.1.6.port.2.s.6.alias=NPI_DMASIZE[6]
unit.1.6.port.2.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.6.name=MY_NPI_ADDR[6]
unit.1.6.port.2.s.6.orderindex=-1
unit.1.6.port.2.s.6.visible=1
unit.1.6.port.2.s.7.alias=NPI_DMASIZE[7]
unit.1.6.port.2.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.7.name=MY_NPI_ADDR[7]
unit.1.6.port.2.s.7.orderindex=-1
unit.1.6.port.2.s.7.visible=1
unit.1.6.port.2.s.8.alias=NPI_DMASIZE[8]
unit.1.6.port.2.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.8.name=MY_NPI_ADDR[8]
unit.1.6.port.2.s.8.orderindex=-1
unit.1.6.port.2.s.8.visible=1
unit.1.6.port.2.s.9.alias=NPI_DMASIZE[9]
unit.1.6.port.2.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.2.s.9.name=MY_NPI_ADDR[9]
unit.1.6.port.2.s.9.orderindex=-1
unit.1.6.port.2.s.9.visible=1
unit.1.6.port.3.b.0.alias=
unit.1.6.port.3.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.6.port.3.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.b.0.name=NUM_RD_BYTES
unit.1.6.port.3.b.0.orderindex=-1
unit.1.6.port.3.b.0.radix=Hex
unit.1.6.port.3.b.0.signedOffset=0.0
unit.1.6.port.3.b.0.signedPrecision=0
unit.1.6.port.3.b.0.signedScaleFactor=1.0
unit.1.6.port.3.b.0.unsignedOffset=0.0
unit.1.6.port.3.b.0.unsignedPrecision=0
unit.1.6.port.3.b.0.unsignedScaleFactor=1.0
unit.1.6.port.3.b.0.visible=1
unit.1.6.port.3.buscount=1
unit.1.6.port.3.channelcount=32
unit.1.6.port.3.s.0.alias=
unit.1.6.port.3.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.0.name=NUM_RD_BYTES[0]
unit.1.6.port.3.s.0.orderindex=-1
unit.1.6.port.3.s.0.visible=1
unit.1.6.port.3.s.1.alias=
unit.1.6.port.3.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.1.name=NUM_RD_BYTES[1]
unit.1.6.port.3.s.1.orderindex=-1
unit.1.6.port.3.s.1.visible=1
unit.1.6.port.3.s.10.alias=
unit.1.6.port.3.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.10.name=NUM_RD_BYTES[10]
unit.1.6.port.3.s.10.orderindex=-1
unit.1.6.port.3.s.10.visible=1
unit.1.6.port.3.s.11.alias=
unit.1.6.port.3.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.11.name=NUM_RD_BYTES[11]
unit.1.6.port.3.s.11.orderindex=-1
unit.1.6.port.3.s.11.visible=1
unit.1.6.port.3.s.12.alias=
unit.1.6.port.3.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.12.name=NUM_RD_BYTES[12]
unit.1.6.port.3.s.12.orderindex=-1
unit.1.6.port.3.s.12.visible=1
unit.1.6.port.3.s.13.alias=
unit.1.6.port.3.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.13.name=NUM_RD_BYTES[13]
unit.1.6.port.3.s.13.orderindex=-1
unit.1.6.port.3.s.13.visible=1
unit.1.6.port.3.s.14.alias=
unit.1.6.port.3.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.14.name=NUM_RD_BYTES[14]
unit.1.6.port.3.s.14.orderindex=-1
unit.1.6.port.3.s.14.visible=1
unit.1.6.port.3.s.15.alias=
unit.1.6.port.3.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.15.name=NUM_RD_BYTES[15]
unit.1.6.port.3.s.15.orderindex=-1
unit.1.6.port.3.s.15.visible=1
unit.1.6.port.3.s.16.alias=
unit.1.6.port.3.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.16.name=NUM_RD_BYTES[16]
unit.1.6.port.3.s.16.orderindex=-1
unit.1.6.port.3.s.16.visible=1
unit.1.6.port.3.s.17.alias=
unit.1.6.port.3.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.17.name=NUM_RD_BYTES[17]
unit.1.6.port.3.s.17.orderindex=-1
unit.1.6.port.3.s.17.visible=1
unit.1.6.port.3.s.18.alias=
unit.1.6.port.3.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.18.name=NUM_RD_BYTES[18]
unit.1.6.port.3.s.18.orderindex=-1
unit.1.6.port.3.s.18.visible=1
unit.1.6.port.3.s.19.alias=
unit.1.6.port.3.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.19.name=NUM_RD_BYTES[19]
unit.1.6.port.3.s.19.orderindex=-1
unit.1.6.port.3.s.19.visible=1
unit.1.6.port.3.s.2.alias=
unit.1.6.port.3.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.2.name=NUM_RD_BYTES[2]
unit.1.6.port.3.s.2.orderindex=-1
unit.1.6.port.3.s.2.visible=1
unit.1.6.port.3.s.20.alias=
unit.1.6.port.3.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.20.name=NUM_RD_BYTES[20]
unit.1.6.port.3.s.20.orderindex=-1
unit.1.6.port.3.s.20.visible=1
unit.1.6.port.3.s.21.alias=
unit.1.6.port.3.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.21.name=NUM_RD_BYTES[21]
unit.1.6.port.3.s.21.orderindex=-1
unit.1.6.port.3.s.21.visible=1
unit.1.6.port.3.s.22.alias=
unit.1.6.port.3.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.22.name=NUM_RD_BYTES[22]
unit.1.6.port.3.s.22.orderindex=-1
unit.1.6.port.3.s.22.visible=1
unit.1.6.port.3.s.23.alias=
unit.1.6.port.3.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.23.name=NUM_RD_BYTES[23]
unit.1.6.port.3.s.23.orderindex=-1
unit.1.6.port.3.s.23.visible=1
unit.1.6.port.3.s.24.alias=
unit.1.6.port.3.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.24.name=NUM_RD_BYTES[24]
unit.1.6.port.3.s.24.orderindex=-1
unit.1.6.port.3.s.24.visible=1
unit.1.6.port.3.s.25.alias=
unit.1.6.port.3.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.25.name=NUM_RD_BYTES[25]
unit.1.6.port.3.s.25.orderindex=-1
unit.1.6.port.3.s.25.visible=1
unit.1.6.port.3.s.26.alias=
unit.1.6.port.3.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.26.name=NUM_RD_BYTES[26]
unit.1.6.port.3.s.26.orderindex=-1
unit.1.6.port.3.s.26.visible=1
unit.1.6.port.3.s.27.alias=
unit.1.6.port.3.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.27.name=NUM_RD_BYTES[27]
unit.1.6.port.3.s.27.orderindex=-1
unit.1.6.port.3.s.27.visible=1
unit.1.6.port.3.s.28.alias=
unit.1.6.port.3.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.28.name=NUM_RD_BYTES[28]
unit.1.6.port.3.s.28.orderindex=-1
unit.1.6.port.3.s.28.visible=1
unit.1.6.port.3.s.29.alias=
unit.1.6.port.3.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.29.name=NUM_RD_BYTES[29]
unit.1.6.port.3.s.29.orderindex=-1
unit.1.6.port.3.s.29.visible=1
unit.1.6.port.3.s.3.alias=
unit.1.6.port.3.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.3.name=NUM_RD_BYTES[3]
unit.1.6.port.3.s.3.orderindex=-1
unit.1.6.port.3.s.3.visible=1
unit.1.6.port.3.s.30.alias=
unit.1.6.port.3.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.30.name=NUM_RD_BYTES[30]
unit.1.6.port.3.s.30.orderindex=-1
unit.1.6.port.3.s.30.visible=1
unit.1.6.port.3.s.31.alias=
unit.1.6.port.3.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.31.name=NUM_RD_BYTES[31]
unit.1.6.port.3.s.31.orderindex=-1
unit.1.6.port.3.s.31.visible=1
unit.1.6.port.3.s.4.alias=
unit.1.6.port.3.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.4.name=NUM_RD_BYTES[4]
unit.1.6.port.3.s.4.orderindex=-1
unit.1.6.port.3.s.4.visible=1
unit.1.6.port.3.s.5.alias=
unit.1.6.port.3.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.5.name=NUM_RD_BYTES[5]
unit.1.6.port.3.s.5.orderindex=-1
unit.1.6.port.3.s.5.visible=1
unit.1.6.port.3.s.6.alias=
unit.1.6.port.3.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.6.name=NUM_RD_BYTES[6]
unit.1.6.port.3.s.6.orderindex=-1
unit.1.6.port.3.s.6.visible=1
unit.1.6.port.3.s.7.alias=
unit.1.6.port.3.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.7.name=NUM_RD_BYTES[7]
unit.1.6.port.3.s.7.orderindex=-1
unit.1.6.port.3.s.7.visible=1
unit.1.6.port.3.s.8.alias=
unit.1.6.port.3.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.8.name=NUM_RD_BYTES[8]
unit.1.6.port.3.s.8.orderindex=-1
unit.1.6.port.3.s.8.visible=1
unit.1.6.port.3.s.9.alias=
unit.1.6.port.3.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.3.s.9.name=NUM_RD_BYTES[9]
unit.1.6.port.3.s.9.orderindex=-1
unit.1.6.port.3.s.9.visible=1
unit.1.6.port.4.b.0.alias=
unit.1.6.port.4.b.0.channellist=0 1 2 3 4 5 6 7
unit.1.6.port.4.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.b.0.name=WR_COUNTER
unit.1.6.port.4.b.0.orderindex=-1
unit.1.6.port.4.b.0.radix=Hex
unit.1.6.port.4.b.0.signedOffset=0.0
unit.1.6.port.4.b.0.signedPrecision=0
unit.1.6.port.4.b.0.signedScaleFactor=1.0
unit.1.6.port.4.b.0.unsignedOffset=0.0
unit.1.6.port.4.b.0.unsignedPrecision=0
unit.1.6.port.4.b.0.unsignedScaleFactor=1.0
unit.1.6.port.4.b.0.visible=1
unit.1.6.port.4.buscount=1
unit.1.6.port.4.channelcount=8
unit.1.6.port.4.s.0.alias=
unit.1.6.port.4.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.0.name=WR_COUNTER[0]
unit.1.6.port.4.s.0.orderindex=-1
unit.1.6.port.4.s.0.visible=1
unit.1.6.port.4.s.1.alias=
unit.1.6.port.4.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.1.name=WR_COUNTER[1]
unit.1.6.port.4.s.1.orderindex=-1
unit.1.6.port.4.s.1.visible=1
unit.1.6.port.4.s.10.alias=
unit.1.6.port.4.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.10.name=XIL_NPI_WRFIFO_DATA_I[10]
unit.1.6.port.4.s.10.orderindex=-1
unit.1.6.port.4.s.10.visible=1
unit.1.6.port.4.s.11.alias=
unit.1.6.port.4.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.11.name=XIL_NPI_WRFIFO_DATA_I[11]
unit.1.6.port.4.s.11.orderindex=-1
unit.1.6.port.4.s.11.visible=1
unit.1.6.port.4.s.12.alias=
unit.1.6.port.4.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.12.name=XIL_NPI_WRFIFO_DATA_I[12]
unit.1.6.port.4.s.12.orderindex=-1
unit.1.6.port.4.s.12.visible=1
unit.1.6.port.4.s.13.alias=
unit.1.6.port.4.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.13.name=XIL_NPI_WRFIFO_DATA_I[13]
unit.1.6.port.4.s.13.orderindex=-1
unit.1.6.port.4.s.13.visible=1
unit.1.6.port.4.s.14.alias=
unit.1.6.port.4.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.14.name=XIL_NPI_WRFIFO_DATA_I[14]
unit.1.6.port.4.s.14.orderindex=-1
unit.1.6.port.4.s.14.visible=1
unit.1.6.port.4.s.15.alias=
unit.1.6.port.4.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.15.name=XIL_NPI_WRFIFO_DATA_I[15]
unit.1.6.port.4.s.15.orderindex=-1
unit.1.6.port.4.s.15.visible=1
unit.1.6.port.4.s.16.alias=
unit.1.6.port.4.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.16.name=XIL_NPI_WRFIFO_DATA_I[16]
unit.1.6.port.4.s.16.orderindex=-1
unit.1.6.port.4.s.16.visible=1
unit.1.6.port.4.s.17.alias=
unit.1.6.port.4.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.17.name=XIL_NPI_WRFIFO_DATA_I[17]
unit.1.6.port.4.s.17.orderindex=-1
unit.1.6.port.4.s.17.visible=1
unit.1.6.port.4.s.18.alias=
unit.1.6.port.4.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.18.name=XIL_NPI_WRFIFO_DATA_I[18]
unit.1.6.port.4.s.18.orderindex=-1
unit.1.6.port.4.s.18.visible=1
unit.1.6.port.4.s.19.alias=
unit.1.6.port.4.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.19.name=XIL_NPI_WRFIFO_DATA_I[19]
unit.1.6.port.4.s.19.orderindex=-1
unit.1.6.port.4.s.19.visible=1
unit.1.6.port.4.s.2.alias=
unit.1.6.port.4.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.2.name=WR_COUNTER[2]
unit.1.6.port.4.s.2.orderindex=-1
unit.1.6.port.4.s.2.visible=1
unit.1.6.port.4.s.20.alias=
unit.1.6.port.4.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.20.name=XIL_NPI_WRFIFO_DATA_I[20]
unit.1.6.port.4.s.20.orderindex=-1
unit.1.6.port.4.s.20.visible=1
unit.1.6.port.4.s.21.alias=
unit.1.6.port.4.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.21.name=XIL_NPI_WRFIFO_DATA_I[21]
unit.1.6.port.4.s.21.orderindex=-1
unit.1.6.port.4.s.21.visible=1
unit.1.6.port.4.s.22.alias=
unit.1.6.port.4.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.22.name=XIL_NPI_WRFIFO_DATA_I[22]
unit.1.6.port.4.s.22.orderindex=-1
unit.1.6.port.4.s.22.visible=1
unit.1.6.port.4.s.23.alias=
unit.1.6.port.4.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.23.name=XIL_NPI_WRFIFO_DATA_I[23]
unit.1.6.port.4.s.23.orderindex=-1
unit.1.6.port.4.s.23.visible=1
unit.1.6.port.4.s.24.alias=
unit.1.6.port.4.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.24.name=XIL_NPI_WRFIFO_DATA_I[24]
unit.1.6.port.4.s.24.orderindex=-1
unit.1.6.port.4.s.24.visible=1
unit.1.6.port.4.s.25.alias=
unit.1.6.port.4.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.25.name=XIL_NPI_WRFIFO_DATA_I[25]
unit.1.6.port.4.s.25.orderindex=-1
unit.1.6.port.4.s.25.visible=1
unit.1.6.port.4.s.26.alias=
unit.1.6.port.4.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.26.name=XIL_NPI_WRFIFO_DATA_I[26]
unit.1.6.port.4.s.26.orderindex=-1
unit.1.6.port.4.s.26.visible=1
unit.1.6.port.4.s.27.alias=
unit.1.6.port.4.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.27.name=XIL_NPI_WRFIFO_DATA_I[27]
unit.1.6.port.4.s.27.orderindex=-1
unit.1.6.port.4.s.27.visible=1
unit.1.6.port.4.s.28.alias=
unit.1.6.port.4.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.28.name=XIL_NPI_WRFIFO_DATA_I[28]
unit.1.6.port.4.s.28.orderindex=-1
unit.1.6.port.4.s.28.visible=1
unit.1.6.port.4.s.29.alias=
unit.1.6.port.4.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.29.name=XIL_NPI_WRFIFO_DATA_I[29]
unit.1.6.port.4.s.29.orderindex=-1
unit.1.6.port.4.s.29.visible=1
unit.1.6.port.4.s.3.alias=
unit.1.6.port.4.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.3.name=WR_COUNTER[3]
unit.1.6.port.4.s.3.orderindex=-1
unit.1.6.port.4.s.3.visible=1
unit.1.6.port.4.s.30.alias=
unit.1.6.port.4.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.30.name=XIL_NPI_WRFIFO_DATA_I[30]
unit.1.6.port.4.s.30.orderindex=-1
unit.1.6.port.4.s.30.visible=1
unit.1.6.port.4.s.31.alias=
unit.1.6.port.4.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.31.name=XIL_NPI_WRFIFO_DATA_I[31]
unit.1.6.port.4.s.31.orderindex=-1
unit.1.6.port.4.s.31.visible=1
unit.1.6.port.4.s.32.alias=
unit.1.6.port.4.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.32.name=XIL_NPI_WRFIFO_DATA_I[32]
unit.1.6.port.4.s.32.orderindex=-1
unit.1.6.port.4.s.32.visible=1
unit.1.6.port.4.s.33.alias=
unit.1.6.port.4.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.33.name=XIL_NPI_WRFIFO_DATA_I[33]
unit.1.6.port.4.s.33.orderindex=-1
unit.1.6.port.4.s.33.visible=1
unit.1.6.port.4.s.34.alias=
unit.1.6.port.4.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.34.name=XIL_NPI_WRFIFO_DATA_I[34]
unit.1.6.port.4.s.34.orderindex=-1
unit.1.6.port.4.s.34.visible=1
unit.1.6.port.4.s.35.alias=
unit.1.6.port.4.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.35.name=XIL_NPI_WRFIFO_DATA_I[35]
unit.1.6.port.4.s.35.orderindex=-1
unit.1.6.port.4.s.35.visible=1
unit.1.6.port.4.s.36.alias=
unit.1.6.port.4.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.36.name=XIL_NPI_WRFIFO_DATA_I[36]
unit.1.6.port.4.s.36.orderindex=-1
unit.1.6.port.4.s.36.visible=1
unit.1.6.port.4.s.37.alias=
unit.1.6.port.4.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.37.name=XIL_NPI_WRFIFO_DATA_I[37]
unit.1.6.port.4.s.37.orderindex=-1
unit.1.6.port.4.s.37.visible=1
unit.1.6.port.4.s.38.alias=
unit.1.6.port.4.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.38.name=XIL_NPI_WRFIFO_DATA_I[38]
unit.1.6.port.4.s.38.orderindex=-1
unit.1.6.port.4.s.38.visible=1
unit.1.6.port.4.s.39.alias=
unit.1.6.port.4.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.39.name=XIL_NPI_WRFIFO_DATA_I[39]
unit.1.6.port.4.s.39.orderindex=-1
unit.1.6.port.4.s.39.visible=1
unit.1.6.port.4.s.4.alias=XIL_NPI_WRFIFO_DATA_I[4]
unit.1.6.port.4.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.4.name=WR_COUNTER[4]
unit.1.6.port.4.s.4.orderindex=-1
unit.1.6.port.4.s.4.visible=1
unit.1.6.port.4.s.40.alias=
unit.1.6.port.4.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.40.name=XIL_NPI_WRFIFO_DATA_I[40]
unit.1.6.port.4.s.40.orderindex=-1
unit.1.6.port.4.s.40.visible=1
unit.1.6.port.4.s.41.alias=
unit.1.6.port.4.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.41.name=XIL_NPI_WRFIFO_DATA_I[41]
unit.1.6.port.4.s.41.orderindex=-1
unit.1.6.port.4.s.41.visible=1
unit.1.6.port.4.s.42.alias=
unit.1.6.port.4.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.42.name=XIL_NPI_WRFIFO_DATA_I[42]
unit.1.6.port.4.s.42.orderindex=-1
unit.1.6.port.4.s.42.visible=1
unit.1.6.port.4.s.43.alias=
unit.1.6.port.4.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.43.name=XIL_NPI_WRFIFO_DATA_I[43]
unit.1.6.port.4.s.43.orderindex=-1
unit.1.6.port.4.s.43.visible=1
unit.1.6.port.4.s.44.alias=
unit.1.6.port.4.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.44.name=XIL_NPI_WRFIFO_DATA_I[44]
unit.1.6.port.4.s.44.orderindex=-1
unit.1.6.port.4.s.44.visible=1
unit.1.6.port.4.s.45.alias=
unit.1.6.port.4.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.45.name=XIL_NPI_WRFIFO_DATA_I[45]
unit.1.6.port.4.s.45.orderindex=-1
unit.1.6.port.4.s.45.visible=1
unit.1.6.port.4.s.46.alias=
unit.1.6.port.4.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.46.name=XIL_NPI_WRFIFO_DATA_I[46]
unit.1.6.port.4.s.46.orderindex=-1
unit.1.6.port.4.s.46.visible=1
unit.1.6.port.4.s.47.alias=
unit.1.6.port.4.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.47.name=XIL_NPI_WRFIFO_DATA_I[47]
unit.1.6.port.4.s.47.orderindex=-1
unit.1.6.port.4.s.47.visible=1
unit.1.6.port.4.s.48.alias=
unit.1.6.port.4.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.48.name=XIL_NPI_WRFIFO_DATA_I[48]
unit.1.6.port.4.s.48.orderindex=-1
unit.1.6.port.4.s.48.visible=1
unit.1.6.port.4.s.49.alias=
unit.1.6.port.4.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.49.name=XIL_NPI_WRFIFO_DATA_I[49]
unit.1.6.port.4.s.49.orderindex=-1
unit.1.6.port.4.s.49.visible=1
unit.1.6.port.4.s.5.alias=XIL_NPI_WRFIFO_DATA_I[5]
unit.1.6.port.4.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.5.name=WR_COUNTER[5]
unit.1.6.port.4.s.5.orderindex=-1
unit.1.6.port.4.s.5.visible=1
unit.1.6.port.4.s.50.alias=
unit.1.6.port.4.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.50.name=XIL_NPI_WRFIFO_DATA_I[50]
unit.1.6.port.4.s.50.orderindex=-1
unit.1.6.port.4.s.50.visible=1
unit.1.6.port.4.s.51.alias=
unit.1.6.port.4.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.51.name=XIL_NPI_WRFIFO_DATA_I[51]
unit.1.6.port.4.s.51.orderindex=-1
unit.1.6.port.4.s.51.visible=1
unit.1.6.port.4.s.52.alias=
unit.1.6.port.4.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.52.name=XIL_NPI_WRFIFO_DATA_I[52]
unit.1.6.port.4.s.52.orderindex=-1
unit.1.6.port.4.s.52.visible=1
unit.1.6.port.4.s.53.alias=
unit.1.6.port.4.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.53.name=XIL_NPI_WRFIFO_DATA_I[53]
unit.1.6.port.4.s.53.orderindex=-1
unit.1.6.port.4.s.53.visible=1
unit.1.6.port.4.s.54.alias=
unit.1.6.port.4.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.54.name=XIL_NPI_WRFIFO_DATA_I[54]
unit.1.6.port.4.s.54.orderindex=-1
unit.1.6.port.4.s.54.visible=1
unit.1.6.port.4.s.55.alias=
unit.1.6.port.4.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.55.name=XIL_NPI_WRFIFO_DATA_I[55]
unit.1.6.port.4.s.55.orderindex=-1
unit.1.6.port.4.s.55.visible=1
unit.1.6.port.4.s.56.alias=
unit.1.6.port.4.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.56.name=XIL_NPI_WRFIFO_DATA_I[56]
unit.1.6.port.4.s.56.orderindex=-1
unit.1.6.port.4.s.56.visible=1
unit.1.6.port.4.s.57.alias=
unit.1.6.port.4.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.57.name=XIL_NPI_WRFIFO_DATA_I[57]
unit.1.6.port.4.s.57.orderindex=-1
unit.1.6.port.4.s.57.visible=1
unit.1.6.port.4.s.58.alias=
unit.1.6.port.4.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.58.name=XIL_NPI_WRFIFO_DATA_I[58]
unit.1.6.port.4.s.58.orderindex=-1
unit.1.6.port.4.s.58.visible=1
unit.1.6.port.4.s.59.alias=
unit.1.6.port.4.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.59.name=XIL_NPI_WRFIFO_DATA_I[59]
unit.1.6.port.4.s.59.orderindex=-1
unit.1.6.port.4.s.59.visible=1
unit.1.6.port.4.s.6.alias=XIL_NPI_WRFIFO_DATA_I[6]
unit.1.6.port.4.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.6.name=WR_COUNTER[6]
unit.1.6.port.4.s.6.orderindex=-1
unit.1.6.port.4.s.6.visible=1
unit.1.6.port.4.s.60.alias=
unit.1.6.port.4.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.60.name=XIL_NPI_WRFIFO_DATA_I[60]
unit.1.6.port.4.s.60.orderindex=-1
unit.1.6.port.4.s.60.visible=1
unit.1.6.port.4.s.61.alias=
unit.1.6.port.4.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.61.name=XIL_NPI_WRFIFO_DATA_I[61]
unit.1.6.port.4.s.61.orderindex=-1
unit.1.6.port.4.s.61.visible=1
unit.1.6.port.4.s.62.alias=
unit.1.6.port.4.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.62.name=XIL_NPI_WRFIFO_DATA_I[62]
unit.1.6.port.4.s.62.orderindex=-1
unit.1.6.port.4.s.62.visible=1
unit.1.6.port.4.s.63.alias=
unit.1.6.port.4.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.63.name=XIL_NPI_WRFIFO_DATA_I[63]
unit.1.6.port.4.s.63.orderindex=-1
unit.1.6.port.4.s.63.visible=1
unit.1.6.port.4.s.7.alias=XIL_NPI_WRFIFO_DATA_I[7]
unit.1.6.port.4.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.7.name=WR_COUNTER[7]
unit.1.6.port.4.s.7.orderindex=-1
unit.1.6.port.4.s.7.visible=1
unit.1.6.port.4.s.8.alias=
unit.1.6.port.4.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.8.name=XIL_NPI_WRFIFO_DATA_I[8]
unit.1.6.port.4.s.8.orderindex=-1
unit.1.6.port.4.s.8.visible=1
unit.1.6.port.4.s.9.alias=
unit.1.6.port.4.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.4.s.9.name=XIL_NPI_WRFIFO_DATA_I[9]
unit.1.6.port.4.s.9.orderindex=-1
unit.1.6.port.4.s.9.visible=1
unit.1.6.port.5.b.0.alias=
unit.1.6.port.5.b.0.channellist=0 1 2 3 4 5 6 7
unit.1.6.port.5.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.b.0.name=WR_FIFO_BE
unit.1.6.port.5.b.0.orderindex=-1
unit.1.6.port.5.b.0.radix=Hex
unit.1.6.port.5.b.0.signedOffset=0.0
unit.1.6.port.5.b.0.signedPrecision=0
unit.1.6.port.5.b.0.signedScaleFactor=1.0
unit.1.6.port.5.b.0.unsignedOffset=0.0
unit.1.6.port.5.b.0.unsignedPrecision=0
unit.1.6.port.5.b.0.unsignedScaleFactor=1.0
unit.1.6.port.5.b.0.visible=1
unit.1.6.port.5.buscount=1
unit.1.6.port.5.channelcount=8
unit.1.6.port.5.s.0.alias=DATA[0]
unit.1.6.port.5.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.0.name=WR_FIFO_BE[0]
unit.1.6.port.5.s.0.orderindex=-1
unit.1.6.port.5.s.0.visible=1
unit.1.6.port.5.s.1.alias=DATA[1]
unit.1.6.port.5.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.1.name=WR_FIFO_BE[1]
unit.1.6.port.5.s.1.orderindex=-1
unit.1.6.port.5.s.1.visible=1
unit.1.6.port.5.s.10.alias=
unit.1.6.port.5.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.10.name=DATA[10]
unit.1.6.port.5.s.10.orderindex=-1
unit.1.6.port.5.s.10.visible=1
unit.1.6.port.5.s.11.alias=
unit.1.6.port.5.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.11.name=DATA[11]
unit.1.6.port.5.s.11.orderindex=-1
unit.1.6.port.5.s.11.visible=1
unit.1.6.port.5.s.12.alias=
unit.1.6.port.5.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.12.name=DATA[12]
unit.1.6.port.5.s.12.orderindex=-1
unit.1.6.port.5.s.12.visible=1
unit.1.6.port.5.s.13.alias=
unit.1.6.port.5.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.13.name=DATA[13]
unit.1.6.port.5.s.13.orderindex=-1
unit.1.6.port.5.s.13.visible=1
unit.1.6.port.5.s.14.alias=
unit.1.6.port.5.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.14.name=DATA[14]
unit.1.6.port.5.s.14.orderindex=-1
unit.1.6.port.5.s.14.visible=1
unit.1.6.port.5.s.15.alias=
unit.1.6.port.5.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.15.name=DATA[15]
unit.1.6.port.5.s.15.orderindex=-1
unit.1.6.port.5.s.15.visible=1
unit.1.6.port.5.s.16.alias=
unit.1.6.port.5.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.16.name=DATA[16]
unit.1.6.port.5.s.16.orderindex=-1
unit.1.6.port.5.s.16.visible=1
unit.1.6.port.5.s.17.alias=
unit.1.6.port.5.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.17.name=DATA[17]
unit.1.6.port.5.s.17.orderindex=-1
unit.1.6.port.5.s.17.visible=1
unit.1.6.port.5.s.18.alias=
unit.1.6.port.5.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.18.name=DATA[18]
unit.1.6.port.5.s.18.orderindex=-1
unit.1.6.port.5.s.18.visible=1
unit.1.6.port.5.s.19.alias=
unit.1.6.port.5.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.19.name=DATA[19]
unit.1.6.port.5.s.19.orderindex=-1
unit.1.6.port.5.s.19.visible=1
unit.1.6.port.5.s.2.alias=DATA[2]
unit.1.6.port.5.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.2.name=WR_FIFO_BE[2]
unit.1.6.port.5.s.2.orderindex=-1
unit.1.6.port.5.s.2.visible=1
unit.1.6.port.5.s.20.alias=
unit.1.6.port.5.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.20.name=DATA[20]
unit.1.6.port.5.s.20.orderindex=-1
unit.1.6.port.5.s.20.visible=1
unit.1.6.port.5.s.21.alias=
unit.1.6.port.5.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.21.name=DATA[21]
unit.1.6.port.5.s.21.orderindex=-1
unit.1.6.port.5.s.21.visible=1
unit.1.6.port.5.s.22.alias=
unit.1.6.port.5.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.22.name=DATA[22]
unit.1.6.port.5.s.22.orderindex=-1
unit.1.6.port.5.s.22.visible=1
unit.1.6.port.5.s.23.alias=
unit.1.6.port.5.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.23.name=DATA[23]
unit.1.6.port.5.s.23.orderindex=-1
unit.1.6.port.5.s.23.visible=1
unit.1.6.port.5.s.24.alias=
unit.1.6.port.5.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.24.name=DATA[24]
unit.1.6.port.5.s.24.orderindex=-1
unit.1.6.port.5.s.24.visible=1
unit.1.6.port.5.s.25.alias=
unit.1.6.port.5.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.25.name=DATA[25]
unit.1.6.port.5.s.25.orderindex=-1
unit.1.6.port.5.s.25.visible=1
unit.1.6.port.5.s.26.alias=
unit.1.6.port.5.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.26.name=DATA[26]
unit.1.6.port.5.s.26.orderindex=-1
unit.1.6.port.5.s.26.visible=1
unit.1.6.port.5.s.27.alias=
unit.1.6.port.5.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.27.name=DATA[27]
unit.1.6.port.5.s.27.orderindex=-1
unit.1.6.port.5.s.27.visible=1
unit.1.6.port.5.s.28.alias=
unit.1.6.port.5.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.28.name=DATA[28]
unit.1.6.port.5.s.28.orderindex=-1
unit.1.6.port.5.s.28.visible=1
unit.1.6.port.5.s.29.alias=
unit.1.6.port.5.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.29.name=DATA[29]
unit.1.6.port.5.s.29.orderindex=-1
unit.1.6.port.5.s.29.visible=1
unit.1.6.port.5.s.3.alias=DATA[3]
unit.1.6.port.5.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.3.name=WR_FIFO_BE[3]
unit.1.6.port.5.s.3.orderindex=-1
unit.1.6.port.5.s.3.visible=1
unit.1.6.port.5.s.30.alias=
unit.1.6.port.5.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.30.name=DATA[30]
unit.1.6.port.5.s.30.orderindex=-1
unit.1.6.port.5.s.30.visible=1
unit.1.6.port.5.s.31.alias=
unit.1.6.port.5.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.31.name=DATA[31]
unit.1.6.port.5.s.31.orderindex=-1
unit.1.6.port.5.s.31.visible=1
unit.1.6.port.5.s.32.alias=
unit.1.6.port.5.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.32.name=DATA[32]
unit.1.6.port.5.s.32.orderindex=-1
unit.1.6.port.5.s.32.visible=1
unit.1.6.port.5.s.33.alias=
unit.1.6.port.5.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.33.name=DATA[33]
unit.1.6.port.5.s.33.orderindex=-1
unit.1.6.port.5.s.33.visible=1
unit.1.6.port.5.s.34.alias=
unit.1.6.port.5.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.34.name=DATA[34]
unit.1.6.port.5.s.34.orderindex=-1
unit.1.6.port.5.s.34.visible=1
unit.1.6.port.5.s.35.alias=
unit.1.6.port.5.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.35.name=DATA[35]
unit.1.6.port.5.s.35.orderindex=-1
unit.1.6.port.5.s.35.visible=1
unit.1.6.port.5.s.36.alias=
unit.1.6.port.5.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.36.name=DATA[36]
unit.1.6.port.5.s.36.orderindex=-1
unit.1.6.port.5.s.36.visible=1
unit.1.6.port.5.s.37.alias=
unit.1.6.port.5.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.37.name=DATA[37]
unit.1.6.port.5.s.37.orderindex=-1
unit.1.6.port.5.s.37.visible=1
unit.1.6.port.5.s.38.alias=
unit.1.6.port.5.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.38.name=DATA[38]
unit.1.6.port.5.s.38.orderindex=-1
unit.1.6.port.5.s.38.visible=1
unit.1.6.port.5.s.39.alias=
unit.1.6.port.5.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.39.name=DATA[39]
unit.1.6.port.5.s.39.orderindex=-1
unit.1.6.port.5.s.39.visible=1
unit.1.6.port.5.s.4.alias=
unit.1.6.port.5.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.4.name=WR_FIFO_BE[4]
unit.1.6.port.5.s.4.orderindex=-1
unit.1.6.port.5.s.4.visible=1
unit.1.6.port.5.s.40.alias=
unit.1.6.port.5.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.40.name=DATA[40]
unit.1.6.port.5.s.40.orderindex=-1
unit.1.6.port.5.s.40.visible=1
unit.1.6.port.5.s.41.alias=
unit.1.6.port.5.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.41.name=DATA[41]
unit.1.6.port.5.s.41.orderindex=-1
unit.1.6.port.5.s.41.visible=1
unit.1.6.port.5.s.42.alias=
unit.1.6.port.5.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.42.name=DATA[42]
unit.1.6.port.5.s.42.orderindex=-1
unit.1.6.port.5.s.42.visible=1
unit.1.6.port.5.s.43.alias=
unit.1.6.port.5.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.43.name=DATA[43]
unit.1.6.port.5.s.43.orderindex=-1
unit.1.6.port.5.s.43.visible=1
unit.1.6.port.5.s.44.alias=
unit.1.6.port.5.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.44.name=DATA[44]
unit.1.6.port.5.s.44.orderindex=-1
unit.1.6.port.5.s.44.visible=1
unit.1.6.port.5.s.45.alias=
unit.1.6.port.5.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.45.name=DATA[45]
unit.1.6.port.5.s.45.orderindex=-1
unit.1.6.port.5.s.45.visible=1
unit.1.6.port.5.s.46.alias=
unit.1.6.port.5.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.46.name=DATA[46]
unit.1.6.port.5.s.46.orderindex=-1
unit.1.6.port.5.s.46.visible=1
unit.1.6.port.5.s.47.alias=
unit.1.6.port.5.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.47.name=DATA[47]
unit.1.6.port.5.s.47.orderindex=-1
unit.1.6.port.5.s.47.visible=1
unit.1.6.port.5.s.48.alias=
unit.1.6.port.5.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.48.name=DATA[48]
unit.1.6.port.5.s.48.orderindex=-1
unit.1.6.port.5.s.48.visible=1
unit.1.6.port.5.s.49.alias=
unit.1.6.port.5.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.49.name=DATA[49]
unit.1.6.port.5.s.49.orderindex=-1
unit.1.6.port.5.s.49.visible=1
unit.1.6.port.5.s.5.alias=
unit.1.6.port.5.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.5.name=WR_FIFO_BE[5]
unit.1.6.port.5.s.5.orderindex=-1
unit.1.6.port.5.s.5.visible=1
unit.1.6.port.5.s.50.alias=
unit.1.6.port.5.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.50.name=DATA[50]
unit.1.6.port.5.s.50.orderindex=-1
unit.1.6.port.5.s.50.visible=1
unit.1.6.port.5.s.51.alias=
unit.1.6.port.5.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.51.name=DATA[51]
unit.1.6.port.5.s.51.orderindex=-1
unit.1.6.port.5.s.51.visible=1
unit.1.6.port.5.s.52.alias=
unit.1.6.port.5.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.52.name=DATA[52]
unit.1.6.port.5.s.52.orderindex=-1
unit.1.6.port.5.s.52.visible=1
unit.1.6.port.5.s.53.alias=
unit.1.6.port.5.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.53.name=DATA[53]
unit.1.6.port.5.s.53.orderindex=-1
unit.1.6.port.5.s.53.visible=1
unit.1.6.port.5.s.54.alias=
unit.1.6.port.5.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.54.name=DATA[54]
unit.1.6.port.5.s.54.orderindex=-1
unit.1.6.port.5.s.54.visible=1
unit.1.6.port.5.s.55.alias=
unit.1.6.port.5.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.55.name=DATA[55]
unit.1.6.port.5.s.55.orderindex=-1
unit.1.6.port.5.s.55.visible=1
unit.1.6.port.5.s.56.alias=
unit.1.6.port.5.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.56.name=DATA[56]
unit.1.6.port.5.s.56.orderindex=-1
unit.1.6.port.5.s.56.visible=1
unit.1.6.port.5.s.57.alias=
unit.1.6.port.5.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.57.name=DATA[57]
unit.1.6.port.5.s.57.orderindex=-1
unit.1.6.port.5.s.57.visible=1
unit.1.6.port.5.s.58.alias=
unit.1.6.port.5.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.58.name=DATA[58]
unit.1.6.port.5.s.58.orderindex=-1
unit.1.6.port.5.s.58.visible=1
unit.1.6.port.5.s.59.alias=
unit.1.6.port.5.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.59.name=DATA[59]
unit.1.6.port.5.s.59.orderindex=-1
unit.1.6.port.5.s.59.visible=1
unit.1.6.port.5.s.6.alias=
unit.1.6.port.5.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.6.name=WR_FIFO_BE[6]
unit.1.6.port.5.s.6.orderindex=-1
unit.1.6.port.5.s.6.visible=1
unit.1.6.port.5.s.60.alias=
unit.1.6.port.5.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.60.name=DATA[60]
unit.1.6.port.5.s.60.orderindex=-1
unit.1.6.port.5.s.60.visible=1
unit.1.6.port.5.s.61.alias=
unit.1.6.port.5.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.61.name=DATA[61]
unit.1.6.port.5.s.61.orderindex=-1
unit.1.6.port.5.s.61.visible=1
unit.1.6.port.5.s.62.alias=
unit.1.6.port.5.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.62.name=DATA[62]
unit.1.6.port.5.s.62.orderindex=-1
unit.1.6.port.5.s.62.visible=1
unit.1.6.port.5.s.63.alias=
unit.1.6.port.5.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.63.name=DATA[63]
unit.1.6.port.5.s.63.orderindex=-1
unit.1.6.port.5.s.63.visible=1
unit.1.6.port.5.s.7.alias=
unit.1.6.port.5.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.7.name=WR_FIFO_BE[7]
unit.1.6.port.5.s.7.orderindex=-1
unit.1.6.port.5.s.7.visible=1
unit.1.6.port.5.s.8.alias=
unit.1.6.port.5.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.8.name=DATA[8]
unit.1.6.port.5.s.8.orderindex=-1
unit.1.6.port.5.s.8.visible=1
unit.1.6.port.5.s.9.alias=
unit.1.6.port.5.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.5.s.9.name=DATA[9]
unit.1.6.port.5.s.9.orderindex=-1
unit.1.6.port.5.s.9.visible=1
unit.1.6.port.6.b.0.alias=
unit.1.6.port.6.b.0.channellist=0 1 2 3
unit.1.6.port.6.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.6.b.0.name=RD_FSM_VALUE
unit.1.6.port.6.b.0.orderindex=-1
unit.1.6.port.6.b.0.radix=Hex
unit.1.6.port.6.b.0.signedOffset=0.0
unit.1.6.port.6.b.0.signedPrecision=0
unit.1.6.port.6.b.0.signedScaleFactor=1.0
unit.1.6.port.6.b.0.unsignedOffset=0.0
unit.1.6.port.6.b.0.unsignedPrecision=0
unit.1.6.port.6.b.0.unsignedScaleFactor=1.0
unit.1.6.port.6.b.0.visible=1
unit.1.6.port.6.buscount=1
unit.1.6.port.6.channelcount=4
unit.1.6.port.6.s.0.alias=
unit.1.6.port.6.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.6.s.0.name=RD_FSM_VALUE[0]
unit.1.6.port.6.s.0.orderindex=-1
unit.1.6.port.6.s.0.visible=1
unit.1.6.port.6.s.1.alias=
unit.1.6.port.6.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.6.s.1.name=RD_FSM_VALUE[1]
unit.1.6.port.6.s.1.orderindex=-1
unit.1.6.port.6.s.1.visible=1
unit.1.6.port.6.s.2.alias=
unit.1.6.port.6.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.6.s.2.name=RD_FSM_VALUE[2]
unit.1.6.port.6.s.2.orderindex=-1
unit.1.6.port.6.s.2.visible=1
unit.1.6.port.6.s.3.alias=
unit.1.6.port.6.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.6.s.3.name=RD_FSM_VALUE[3]
unit.1.6.port.6.s.3.orderindex=-1
unit.1.6.port.6.s.3.visible=1
unit.1.6.port.7.b.0.alias=
unit.1.6.port.7.b.0.channellist=0 1 2 3
unit.1.6.port.7.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.7.b.0.name=WR_FSM_VALUE
unit.1.6.port.7.b.0.orderindex=-1
unit.1.6.port.7.b.0.radix=Hex
unit.1.6.port.7.b.0.signedOffset=0.0
unit.1.6.port.7.b.0.signedPrecision=0
unit.1.6.port.7.b.0.signedScaleFactor=1.0
unit.1.6.port.7.b.0.unsignedOffset=0.0
unit.1.6.port.7.b.0.unsignedPrecision=0
unit.1.6.port.7.b.0.unsignedScaleFactor=1.0
unit.1.6.port.7.b.0.visible=1
unit.1.6.port.7.buscount=1
unit.1.6.port.7.channelcount=4
unit.1.6.port.7.s.0.alias=
unit.1.6.port.7.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.7.s.0.name=WR_FSM_VALUE[0]
unit.1.6.port.7.s.0.orderindex=-1
unit.1.6.port.7.s.0.visible=1
unit.1.6.port.7.s.1.alias=
unit.1.6.port.7.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.7.s.1.name=WR_FSM_VALUE[1]
unit.1.6.port.7.s.1.orderindex=-1
unit.1.6.port.7.s.1.visible=1
unit.1.6.port.7.s.2.alias=
unit.1.6.port.7.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.7.s.2.name=WR_FSM_VALUE[2]
unit.1.6.port.7.s.2.orderindex=-1
unit.1.6.port.7.s.2.visible=1
unit.1.6.port.7.s.3.alias=
unit.1.6.port.7.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.7.s.3.name=WR_FSM_VALUE[3]
unit.1.6.port.7.s.3.orderindex=-1
unit.1.6.port.7.s.3.visible=1
unit.1.6.port.8.b.0.alias=
unit.1.6.port.8.b.0.channellist=0 1
unit.1.6.port.8.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.b.0.name=NPI_RDFIFO_LATENCY
unit.1.6.port.8.b.0.orderindex=-1
unit.1.6.port.8.b.0.radix=Hex
unit.1.6.port.8.b.0.signedOffset=0.0
unit.1.6.port.8.b.0.signedPrecision=0
unit.1.6.port.8.b.0.signedScaleFactor=1.0
unit.1.6.port.8.b.0.unsignedOffset=0.0
unit.1.6.port.8.b.0.unsignedPrecision=0
unit.1.6.port.8.b.0.unsignedScaleFactor=1.0
unit.1.6.port.8.b.0.visible=1
unit.1.6.port.8.buscount=1
unit.1.6.port.8.channelcount=2
unit.1.6.port.8.s.0.alias=
unit.1.6.port.8.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.0.name=NPI_RDFIFO_LATENCY[0]
unit.1.6.port.8.s.0.orderindex=-1
unit.1.6.port.8.s.0.visible=1
unit.1.6.port.8.s.1.alias=
unit.1.6.port.8.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.1.name=NPI_RDFIFO_LATENCY[1]
unit.1.6.port.8.s.1.orderindex=-1
unit.1.6.port.8.s.1.visible=1
unit.1.6.port.8.s.10.alias=
unit.1.6.port.8.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.10.name=XIL_NPI_ADDR_INT[10]
unit.1.6.port.8.s.10.orderindex=-1
unit.1.6.port.8.s.10.visible=1
unit.1.6.port.8.s.11.alias=
unit.1.6.port.8.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.11.name=XIL_NPI_ADDR_INT[11]
unit.1.6.port.8.s.11.orderindex=-1
unit.1.6.port.8.s.11.visible=1
unit.1.6.port.8.s.12.alias=
unit.1.6.port.8.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.12.name=XIL_NPI_ADDR_INT[12]
unit.1.6.port.8.s.12.orderindex=-1
unit.1.6.port.8.s.12.visible=1
unit.1.6.port.8.s.13.alias=
unit.1.6.port.8.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.13.name=XIL_NPI_ADDR_INT[13]
unit.1.6.port.8.s.13.orderindex=-1
unit.1.6.port.8.s.13.visible=1
unit.1.6.port.8.s.14.alias=
unit.1.6.port.8.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.14.name=XIL_NPI_ADDR_INT[14]
unit.1.6.port.8.s.14.orderindex=-1
unit.1.6.port.8.s.14.visible=1
unit.1.6.port.8.s.15.alias=
unit.1.6.port.8.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.15.name=XIL_NPI_ADDR_INT[15]
unit.1.6.port.8.s.15.orderindex=-1
unit.1.6.port.8.s.15.visible=1
unit.1.6.port.8.s.16.alias=
unit.1.6.port.8.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.16.name=XIL_NPI_ADDR_INT[16]
unit.1.6.port.8.s.16.orderindex=-1
unit.1.6.port.8.s.16.visible=1
unit.1.6.port.8.s.17.alias=
unit.1.6.port.8.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.17.name=XIL_NPI_ADDR_INT[17]
unit.1.6.port.8.s.17.orderindex=-1
unit.1.6.port.8.s.17.visible=1
unit.1.6.port.8.s.18.alias=
unit.1.6.port.8.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.18.name=XIL_NPI_ADDR_INT[18]
unit.1.6.port.8.s.18.orderindex=-1
unit.1.6.port.8.s.18.visible=1
unit.1.6.port.8.s.19.alias=
unit.1.6.port.8.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.19.name=XIL_NPI_ADDR_INT[19]
unit.1.6.port.8.s.19.orderindex=-1
unit.1.6.port.8.s.19.visible=1
unit.1.6.port.8.s.2.alias=
unit.1.6.port.8.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.2.name=XIL_NPI_ADDR_INT[2]
unit.1.6.port.8.s.2.orderindex=-1
unit.1.6.port.8.s.2.visible=1
unit.1.6.port.8.s.20.alias=
unit.1.6.port.8.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.20.name=XIL_NPI_ADDR_INT[20]
unit.1.6.port.8.s.20.orderindex=-1
unit.1.6.port.8.s.20.visible=1
unit.1.6.port.8.s.21.alias=
unit.1.6.port.8.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.21.name=XIL_NPI_ADDR_INT[21]
unit.1.6.port.8.s.21.orderindex=-1
unit.1.6.port.8.s.21.visible=1
unit.1.6.port.8.s.22.alias=
unit.1.6.port.8.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.22.name=XIL_NPI_ADDR_INT[22]
unit.1.6.port.8.s.22.orderindex=-1
unit.1.6.port.8.s.22.visible=1
unit.1.6.port.8.s.23.alias=
unit.1.6.port.8.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.23.name=XIL_NPI_ADDR_INT[23]
unit.1.6.port.8.s.23.orderindex=-1
unit.1.6.port.8.s.23.visible=1
unit.1.6.port.8.s.24.alias=
unit.1.6.port.8.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.24.name=XIL_NPI_ADDR_INT[24]
unit.1.6.port.8.s.24.orderindex=-1
unit.1.6.port.8.s.24.visible=1
unit.1.6.port.8.s.25.alias=
unit.1.6.port.8.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.25.name=XIL_NPI_ADDR_INT[25]
unit.1.6.port.8.s.25.orderindex=-1
unit.1.6.port.8.s.25.visible=1
unit.1.6.port.8.s.26.alias=
unit.1.6.port.8.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.26.name=XIL_NPI_ADDR_INT[26]
unit.1.6.port.8.s.26.orderindex=-1
unit.1.6.port.8.s.26.visible=1
unit.1.6.port.8.s.27.alias=
unit.1.6.port.8.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.27.name=XIL_NPI_ADDR_INT[27]
unit.1.6.port.8.s.27.orderindex=-1
unit.1.6.port.8.s.27.visible=1
unit.1.6.port.8.s.28.alias=
unit.1.6.port.8.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.28.name=XIL_NPI_ADDR_INT[28]
unit.1.6.port.8.s.28.orderindex=-1
unit.1.6.port.8.s.28.visible=1
unit.1.6.port.8.s.29.alias=
unit.1.6.port.8.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.29.name=XIL_NPI_ADDR_INT[29]
unit.1.6.port.8.s.29.orderindex=-1
unit.1.6.port.8.s.29.visible=1
unit.1.6.port.8.s.3.alias=
unit.1.6.port.8.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.3.name=XIL_NPI_ADDR_INT[3]
unit.1.6.port.8.s.3.orderindex=-1
unit.1.6.port.8.s.3.visible=1
unit.1.6.port.8.s.30.alias=
unit.1.6.port.8.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.30.name=XIL_NPI_ADDR_INT[30]
unit.1.6.port.8.s.30.orderindex=-1
unit.1.6.port.8.s.30.visible=1
unit.1.6.port.8.s.31.alias=
unit.1.6.port.8.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.31.name=XIL_NPI_ADDR_INT[31]
unit.1.6.port.8.s.31.orderindex=-1
unit.1.6.port.8.s.31.visible=1
unit.1.6.port.8.s.4.alias=
unit.1.6.port.8.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.4.name=XIL_NPI_ADDR_INT[4]
unit.1.6.port.8.s.4.orderindex=-1
unit.1.6.port.8.s.4.visible=1
unit.1.6.port.8.s.5.alias=
unit.1.6.port.8.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.5.name=XIL_NPI_ADDR_INT[5]
unit.1.6.port.8.s.5.orderindex=-1
unit.1.6.port.8.s.5.visible=1
unit.1.6.port.8.s.6.alias=
unit.1.6.port.8.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.6.name=XIL_NPI_ADDR_INT[6]
unit.1.6.port.8.s.6.orderindex=-1
unit.1.6.port.8.s.6.visible=1
unit.1.6.port.8.s.7.alias=
unit.1.6.port.8.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.7.name=XIL_NPI_ADDR_INT[7]
unit.1.6.port.8.s.7.orderindex=-1
unit.1.6.port.8.s.7.visible=1
unit.1.6.port.8.s.8.alias=
unit.1.6.port.8.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.8.name=XIL_NPI_ADDR_INT[8]
unit.1.6.port.8.s.8.orderindex=-1
unit.1.6.port.8.s.8.visible=1
unit.1.6.port.8.s.9.alias=
unit.1.6.port.8.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.8.s.9.name=XIL_NPI_ADDR_INT[9]
unit.1.6.port.8.s.9.orderindex=-1
unit.1.6.port.8.s.9.visible=1
unit.1.6.port.9.b.0.alias=
unit.1.6.port.9.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.6.port.9.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.b.0.name=TRIG9
unit.1.6.port.9.b.0.orderindex=-1
unit.1.6.port.9.b.0.radix=Hex
unit.1.6.port.9.b.0.signedOffset=0.0
unit.1.6.port.9.b.0.signedPrecision=0
unit.1.6.port.9.b.0.signedScaleFactor=1.0
unit.1.6.port.9.b.0.unsignedOffset=0.0
unit.1.6.port.9.b.0.unsignedPrecision=0
unit.1.6.port.9.b.0.unsignedScaleFactor=1.0
unit.1.6.port.9.b.0.visible=1
unit.1.6.port.9.buscount=1
unit.1.6.port.9.channelcount=32
unit.1.6.port.9.s.0.alias=
unit.1.6.port.9.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.0.name=DATA_TO_MEM_WE
unit.1.6.port.9.s.0.orderindex=-1
unit.1.6.port.9.s.0.visible=1
unit.1.6.port.9.s.1.alias=
unit.1.6.port.9.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.1.name=RD_REQ_START
unit.1.6.port.9.s.1.orderindex=-1
unit.1.6.port.9.s.1.visible=1
unit.1.6.port.9.s.10.alias=
unit.1.6.port.9.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.10.name=MY_NPI_RDFIFO_POP
unit.1.6.port.9.s.10.orderindex=-1
unit.1.6.port.9.s.10.visible=1
unit.1.6.port.9.s.11.alias=
unit.1.6.port.9.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.11.name=MY_NPI_RNW
unit.1.6.port.9.s.11.orderindex=-1
unit.1.6.port.9.s.11.visible=1
unit.1.6.port.9.s.12.alias=
unit.1.6.port.9.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.12.name=REQ_TYPE
unit.1.6.port.9.s.12.orderindex=-1
unit.1.6.port.9.s.12.visible=1
unit.1.6.port.9.s.13.alias=
unit.1.6.port.9.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.13.name=RD_REQ_DONE_OUT
unit.1.6.port.9.s.13.orderindex=-1
unit.1.6.port.9.s.13.visible=1
unit.1.6.port.9.s.14.alias=
unit.1.6.port.9.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.14.name=WR_REQ_DONE_OUT
unit.1.6.port.9.s.14.orderindex=-1
unit.1.6.port.9.s.14.visible=1
unit.1.6.port.9.s.15.alias=
unit.1.6.port.9.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.15.name=MY_NPI_RDFIFO_FLUSH
unit.1.6.port.9.s.15.orderindex=-1
unit.1.6.port.9.s.15.visible=1
unit.1.6.port.9.s.16.alias=data_from_mem_we
unit.1.6.port.9.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.16.name=DATA_FROM_MEM_WE
unit.1.6.port.9.s.16.orderindex=-1
unit.1.6.port.9.s.16.visible=1
unit.1.6.port.9.s.17.alias=core_rfd
unit.1.6.port.9.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.17.name=CORE_RFD
unit.1.6.port.9.s.17.orderindex=-1
unit.1.6.port.9.s.17.visible=1
unit.1.6.port.9.s.18.alias=DataPort[236]
unit.1.6.port.9.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.18.name=TRIG9[18]
unit.1.6.port.9.s.18.orderindex=-1
unit.1.6.port.9.s.18.visible=1
unit.1.6.port.9.s.19.alias=DataPort[237]
unit.1.6.port.9.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.19.name=TRIG9[19]
unit.1.6.port.9.s.19.orderindex=-1
unit.1.6.port.9.s.19.visible=1
unit.1.6.port.9.s.2.alias=
unit.1.6.port.9.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.2.name=WR_REQ_START
unit.1.6.port.9.s.2.orderindex=-1
unit.1.6.port.9.s.2.visible=1
unit.1.6.port.9.s.20.alias=DataPort[238]
unit.1.6.port.9.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.20.name=TRIG9[20]
unit.1.6.port.9.s.20.orderindex=-1
unit.1.6.port.9.s.20.visible=1
unit.1.6.port.9.s.21.alias=DataPort[239]
unit.1.6.port.9.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.21.name=TRIG9[21]
unit.1.6.port.9.s.21.orderindex=-1
unit.1.6.port.9.s.21.visible=1
unit.1.6.port.9.s.22.alias=DataPort[240]
unit.1.6.port.9.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.22.name=TRIG9[22]
unit.1.6.port.9.s.22.orderindex=-1
unit.1.6.port.9.s.22.visible=1
unit.1.6.port.9.s.23.alias=DataPort[241]
unit.1.6.port.9.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.23.name=TRIG9[23]
unit.1.6.port.9.s.23.orderindex=-1
unit.1.6.port.9.s.23.visible=1
unit.1.6.port.9.s.24.alias=DataPort[242]
unit.1.6.port.9.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.24.name=TRIG9[24]
unit.1.6.port.9.s.24.orderindex=-1
unit.1.6.port.9.s.24.visible=1
unit.1.6.port.9.s.25.alias=DataPort[243]
unit.1.6.port.9.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.25.name=TRIG9[25]
unit.1.6.port.9.s.25.orderindex=-1
unit.1.6.port.9.s.25.visible=1
unit.1.6.port.9.s.26.alias=DataPort[244]
unit.1.6.port.9.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.26.name=TRIG9[26]
unit.1.6.port.9.s.26.orderindex=-1
unit.1.6.port.9.s.26.visible=1
unit.1.6.port.9.s.27.alias=DataPort[245]
unit.1.6.port.9.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.27.name=TRIG9[27]
unit.1.6.port.9.s.27.orderindex=-1
unit.1.6.port.9.s.27.visible=1
unit.1.6.port.9.s.28.alias=DataPort[246]
unit.1.6.port.9.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.28.name=TRIG9[28]
unit.1.6.port.9.s.28.orderindex=-1
unit.1.6.port.9.s.28.visible=1
unit.1.6.port.9.s.29.alias=DataPort[247]
unit.1.6.port.9.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.29.name=TRIG9[29]
unit.1.6.port.9.s.29.orderindex=-1
unit.1.6.port.9.s.29.visible=1
unit.1.6.port.9.s.3.alias=
unit.1.6.port.9.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.3.name=NPI_ADDRACK
unit.1.6.port.9.s.3.orderindex=-1
unit.1.6.port.9.s.3.visible=1
unit.1.6.port.9.s.30.alias=DataPort[248]
unit.1.6.port.9.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.30.name=TRIG9[30]
unit.1.6.port.9.s.30.orderindex=-1
unit.1.6.port.9.s.30.visible=1
unit.1.6.port.9.s.31.alias=DataPort[249]
unit.1.6.port.9.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.31.name=TRIG9[31]
unit.1.6.port.9.s.31.orderindex=-1
unit.1.6.port.9.s.31.visible=1
unit.1.6.port.9.s.4.alias=
unit.1.6.port.9.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.4.name=NPI_WRFIFO_ALMOSTFULL
unit.1.6.port.9.s.4.orderindex=-1
unit.1.6.port.9.s.4.visible=1
unit.1.6.port.9.s.5.alias=
unit.1.6.port.9.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.5.name=NPI_RDFIFO_EMPTY
unit.1.6.port.9.s.5.orderindex=-1
unit.1.6.port.9.s.5.visible=1
unit.1.6.port.9.s.6.alias=
unit.1.6.port.9.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.6.name=NPI_INITDONE
unit.1.6.port.9.s.6.orderindex=-1
unit.1.6.port.9.s.6.visible=1
unit.1.6.port.9.s.7.alias=
unit.1.6.port.9.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.7.name=NPI_WRFIFO_EMPTY
unit.1.6.port.9.s.7.orderindex=-1
unit.1.6.port.9.s.7.visible=1
unit.1.6.port.9.s.8.alias=
unit.1.6.port.9.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.8.name=MY_NPI_ADDRREQ
unit.1.6.port.9.s.8.orderindex=-1
unit.1.6.port.9.s.8.visible=1
unit.1.6.port.9.s.9.alias=
unit.1.6.port.9.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.6.port.9.s.9.name=WR_FIFO_PUSH
unit.1.6.port.9.s.9.orderindex=-1
unit.1.6.port.9.s.9.visible=1
unit.1.6.portcount=10
unit.1.6.rep_trigger.clobber=1
unit.1.6.rep_trigger.dir=/home/aamendon/reports/phdtheses/aamendon/code/2010/sata_core/VERSION4/base_linux_nfs_fis_retry_REG_XRDY/chipscope
unit.1.6.rep_trigger.filename=waveform
unit.1.6.rep_trigger.format=ASCII
unit.1.6.rep_trigger.loggingEnabled=0
unit.1.6.rep_trigger.signals=All Signals/Buses
unit.1.6.samplesPerTrigger=1
unit.1.6.triggerCapture=1
unit.1.6.triggerNSamplesTS=0
unit.1.6.triggerPosition=200
unit.1.6.triggerWindowCount=1
unit.1.6.triggerWindowDepth=1024
unit.1.6.triggerWindowTS=0
unit.1.6.username=NPI
unit.1.6.waveform.count=27
unit.1.6.waveform.posn.0.channel=2147483646
unit.1.6.waveform.posn.0.name=NPI_RDFIFO_LATENCY
unit.1.6.waveform.posn.0.radix=1
unit.1.6.waveform.posn.0.type=bus
unit.1.6.waveform.posn.1.channel=2147483646
unit.1.6.waveform.posn.1.name=WR_FSM_VALUE
unit.1.6.waveform.posn.1.radix=1
unit.1.6.waveform.posn.1.type=bus
unit.1.6.waveform.posn.10.channel=219
unit.1.6.waveform.posn.10.name=RD_REQ_START
unit.1.6.waveform.posn.10.radix=1
unit.1.6.waveform.posn.10.type=signal
unit.1.6.waveform.posn.100.channel=2147483646
unit.1.6.waveform.posn.100.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.100.radix=1
unit.1.6.waveform.posn.100.type=bus
unit.1.6.waveform.posn.101.channel=2147483646
unit.1.6.waveform.posn.101.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.101.radix=1
unit.1.6.waveform.posn.101.type=bus
unit.1.6.waveform.posn.102.channel=2147483646
unit.1.6.waveform.posn.102.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.102.radix=1
unit.1.6.waveform.posn.102.type=bus
unit.1.6.waveform.posn.103.channel=2147483646
unit.1.6.waveform.posn.103.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.103.radix=1
unit.1.6.waveform.posn.103.type=bus
unit.1.6.waveform.posn.104.channel=2147483646
unit.1.6.waveform.posn.104.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.104.radix=1
unit.1.6.waveform.posn.104.type=bus
unit.1.6.waveform.posn.105.channel=2147483646
unit.1.6.waveform.posn.105.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.105.radix=1
unit.1.6.waveform.posn.105.type=bus
unit.1.6.waveform.posn.106.channel=2147483646
unit.1.6.waveform.posn.106.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.106.radix=1
unit.1.6.waveform.posn.106.type=bus
unit.1.6.waveform.posn.107.channel=2147483646
unit.1.6.waveform.posn.107.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.107.radix=1
unit.1.6.waveform.posn.107.type=bus
unit.1.6.waveform.posn.108.channel=2147483646
unit.1.6.waveform.posn.108.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.108.radix=1
unit.1.6.waveform.posn.108.type=bus
unit.1.6.waveform.posn.109.channel=2147483646
unit.1.6.waveform.posn.109.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.109.radix=1
unit.1.6.waveform.posn.109.type=bus
unit.1.6.waveform.posn.11.channel=220
unit.1.6.waveform.posn.11.name=WR_REQ_START
unit.1.6.waveform.posn.11.radix=1
unit.1.6.waveform.posn.11.type=signal
unit.1.6.waveform.posn.110.channel=2147483646
unit.1.6.waveform.posn.110.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.110.radix=1
unit.1.6.waveform.posn.110.type=bus
unit.1.6.waveform.posn.111.channel=2147483646
unit.1.6.waveform.posn.111.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.111.radix=1
unit.1.6.waveform.posn.111.type=bus
unit.1.6.waveform.posn.112.channel=2147483646
unit.1.6.waveform.posn.112.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.112.radix=1
unit.1.6.waveform.posn.112.type=bus
unit.1.6.waveform.posn.113.channel=2147483646
unit.1.6.waveform.posn.113.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.113.radix=1
unit.1.6.waveform.posn.113.type=bus
unit.1.6.waveform.posn.114.channel=2147483646
unit.1.6.waveform.posn.114.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.114.radix=1
unit.1.6.waveform.posn.114.type=bus
unit.1.6.waveform.posn.115.channel=2147483646
unit.1.6.waveform.posn.115.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.115.radix=1
unit.1.6.waveform.posn.115.type=bus
unit.1.6.waveform.posn.116.channel=2147483646
unit.1.6.waveform.posn.116.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.116.radix=1
unit.1.6.waveform.posn.116.type=bus
unit.1.6.waveform.posn.117.channel=2147483646
unit.1.6.waveform.posn.117.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.117.radix=1
unit.1.6.waveform.posn.117.type=bus
unit.1.6.waveform.posn.118.channel=2147483646
unit.1.6.waveform.posn.118.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.118.radix=1
unit.1.6.waveform.posn.118.type=bus
unit.1.6.waveform.posn.119.channel=2147483646
unit.1.6.waveform.posn.119.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.119.radix=1
unit.1.6.waveform.posn.119.type=bus
unit.1.6.waveform.posn.12.channel=221
unit.1.6.waveform.posn.12.name=NPI_ADDRACK
unit.1.6.waveform.posn.12.radix=1
unit.1.6.waveform.posn.12.type=signal
unit.1.6.waveform.posn.120.channel=2147483646
unit.1.6.waveform.posn.120.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.120.radix=1
unit.1.6.waveform.posn.120.type=bus
unit.1.6.waveform.posn.121.channel=2147483646
unit.1.6.waveform.posn.121.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.121.radix=1
unit.1.6.waveform.posn.121.type=bus
unit.1.6.waveform.posn.122.channel=2147483646
unit.1.6.waveform.posn.122.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.122.radix=1
unit.1.6.waveform.posn.122.type=bus
unit.1.6.waveform.posn.123.channel=2147483646
unit.1.6.waveform.posn.123.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.123.radix=1
unit.1.6.waveform.posn.123.type=bus
unit.1.6.waveform.posn.124.channel=2147483646
unit.1.6.waveform.posn.124.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.124.radix=1
unit.1.6.waveform.posn.124.type=bus
unit.1.6.waveform.posn.125.channel=2147483646
unit.1.6.waveform.posn.125.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.125.radix=1
unit.1.6.waveform.posn.125.type=bus
unit.1.6.waveform.posn.126.channel=2147483646
unit.1.6.waveform.posn.126.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.126.radix=1
unit.1.6.waveform.posn.126.type=bus
unit.1.6.waveform.posn.127.channel=2147483646
unit.1.6.waveform.posn.127.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.127.radix=1
unit.1.6.waveform.posn.127.type=bus
unit.1.6.waveform.posn.128.channel=2147483646
unit.1.6.waveform.posn.128.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.128.radix=1
unit.1.6.waveform.posn.128.type=bus
unit.1.6.waveform.posn.129.channel=2147483646
unit.1.6.waveform.posn.129.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.129.radix=1
unit.1.6.waveform.posn.129.type=bus
unit.1.6.waveform.posn.13.channel=222
unit.1.6.waveform.posn.13.name=NPI_WRFIFO_ALMOSTFULL
unit.1.6.waveform.posn.13.radix=1
unit.1.6.waveform.posn.13.type=signal
unit.1.6.waveform.posn.130.channel=2147483646
unit.1.6.waveform.posn.130.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.130.radix=1
unit.1.6.waveform.posn.130.type=bus
unit.1.6.waveform.posn.131.channel=2147483646
unit.1.6.waveform.posn.131.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.131.radix=1
unit.1.6.waveform.posn.131.type=bus
unit.1.6.waveform.posn.132.channel=2147483646
unit.1.6.waveform.posn.132.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.132.radix=1
unit.1.6.waveform.posn.132.type=bus
unit.1.6.waveform.posn.133.channel=2147483646
unit.1.6.waveform.posn.133.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.133.radix=1
unit.1.6.waveform.posn.133.type=bus
unit.1.6.waveform.posn.134.channel=2147483646
unit.1.6.waveform.posn.134.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.134.radix=1
unit.1.6.waveform.posn.134.type=bus
unit.1.6.waveform.posn.135.channel=2147483646
unit.1.6.waveform.posn.135.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.135.radix=1
unit.1.6.waveform.posn.135.type=bus
unit.1.6.waveform.posn.136.channel=2147483646
unit.1.6.waveform.posn.136.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.136.radix=1
unit.1.6.waveform.posn.136.type=bus
unit.1.6.waveform.posn.137.channel=2147483646
unit.1.6.waveform.posn.137.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.137.radix=1
unit.1.6.waveform.posn.137.type=bus
unit.1.6.waveform.posn.138.channel=2147483646
unit.1.6.waveform.posn.138.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.138.radix=1
unit.1.6.waveform.posn.138.type=bus
unit.1.6.waveform.posn.139.channel=2147483646
unit.1.6.waveform.posn.139.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.139.radix=1
unit.1.6.waveform.posn.139.type=bus
unit.1.6.waveform.posn.14.channel=223
unit.1.6.waveform.posn.14.name=NPI_RDFIFO_EMPTY
unit.1.6.waveform.posn.14.radix=1
unit.1.6.waveform.posn.14.type=signal
unit.1.6.waveform.posn.140.channel=2147483646
unit.1.6.waveform.posn.140.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.140.radix=1
unit.1.6.waveform.posn.140.type=bus
unit.1.6.waveform.posn.141.channel=2147483646
unit.1.6.waveform.posn.141.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.141.radix=1
unit.1.6.waveform.posn.141.type=bus
unit.1.6.waveform.posn.142.channel=2147483646
unit.1.6.waveform.posn.142.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.142.radix=1
unit.1.6.waveform.posn.142.type=bus
unit.1.6.waveform.posn.143.channel=2147483646
unit.1.6.waveform.posn.143.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.143.radix=1
unit.1.6.waveform.posn.143.type=bus
unit.1.6.waveform.posn.144.channel=2147483646
unit.1.6.waveform.posn.144.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.144.radix=1
unit.1.6.waveform.posn.144.type=bus
unit.1.6.waveform.posn.145.channel=2147483646
unit.1.6.waveform.posn.145.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.145.radix=1
unit.1.6.waveform.posn.145.type=bus
unit.1.6.waveform.posn.146.channel=2147483646
unit.1.6.waveform.posn.146.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.146.radix=1
unit.1.6.waveform.posn.146.type=bus
unit.1.6.waveform.posn.147.channel=2147483646
unit.1.6.waveform.posn.147.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.147.radix=1
unit.1.6.waveform.posn.147.type=bus
unit.1.6.waveform.posn.148.channel=2147483646
unit.1.6.waveform.posn.148.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.148.radix=1
unit.1.6.waveform.posn.148.type=bus
unit.1.6.waveform.posn.149.channel=2147483646
unit.1.6.waveform.posn.149.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.149.radix=1
unit.1.6.waveform.posn.149.type=bus
unit.1.6.waveform.posn.15.channel=224
unit.1.6.waveform.posn.15.name=NPI_INITDONE
unit.1.6.waveform.posn.15.radix=1
unit.1.6.waveform.posn.15.type=signal
unit.1.6.waveform.posn.150.channel=2147483646
unit.1.6.waveform.posn.150.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.150.radix=1
unit.1.6.waveform.posn.150.type=bus
unit.1.6.waveform.posn.151.channel=2147483646
unit.1.6.waveform.posn.151.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.151.radix=1
unit.1.6.waveform.posn.151.type=bus
unit.1.6.waveform.posn.152.channel=2147483646
unit.1.6.waveform.posn.152.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.152.radix=1
unit.1.6.waveform.posn.152.type=bus
unit.1.6.waveform.posn.153.channel=2147483646
unit.1.6.waveform.posn.153.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.153.radix=1
unit.1.6.waveform.posn.153.type=bus
unit.1.6.waveform.posn.154.channel=2147483646
unit.1.6.waveform.posn.154.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.154.radix=1
unit.1.6.waveform.posn.154.type=bus
unit.1.6.waveform.posn.155.channel=2147483646
unit.1.6.waveform.posn.155.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.155.radix=1
unit.1.6.waveform.posn.155.type=bus
unit.1.6.waveform.posn.156.channel=2147483646
unit.1.6.waveform.posn.156.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.156.radix=1
unit.1.6.waveform.posn.156.type=bus
unit.1.6.waveform.posn.157.channel=2147483646
unit.1.6.waveform.posn.157.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.157.radix=1
unit.1.6.waveform.posn.157.type=bus
unit.1.6.waveform.posn.158.channel=2147483646
unit.1.6.waveform.posn.158.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.158.radix=1
unit.1.6.waveform.posn.158.type=bus
unit.1.6.waveform.posn.159.channel=2147483646
unit.1.6.waveform.posn.159.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.159.radix=1
unit.1.6.waveform.posn.159.type=bus
unit.1.6.waveform.posn.16.channel=225
unit.1.6.waveform.posn.16.name=NPI_WRFIFO_EMPTY
unit.1.6.waveform.posn.16.radix=1
unit.1.6.waveform.posn.16.type=signal
unit.1.6.waveform.posn.160.channel=2147483646
unit.1.6.waveform.posn.160.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.160.radix=1
unit.1.6.waveform.posn.160.type=bus
unit.1.6.waveform.posn.161.channel=2147483646
unit.1.6.waveform.posn.161.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.161.radix=1
unit.1.6.waveform.posn.161.type=bus
unit.1.6.waveform.posn.162.channel=2147483646
unit.1.6.waveform.posn.162.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.162.radix=1
unit.1.6.waveform.posn.162.type=bus
unit.1.6.waveform.posn.163.channel=2147483646
unit.1.6.waveform.posn.163.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.163.radix=1
unit.1.6.waveform.posn.163.type=bus
unit.1.6.waveform.posn.164.channel=2147483646
unit.1.6.waveform.posn.164.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.164.radix=1
unit.1.6.waveform.posn.164.type=bus
unit.1.6.waveform.posn.165.channel=2147483646
unit.1.6.waveform.posn.165.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.165.radix=1
unit.1.6.waveform.posn.165.type=bus
unit.1.6.waveform.posn.166.channel=2147483646
unit.1.6.waveform.posn.166.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.166.radix=1
unit.1.6.waveform.posn.166.type=bus
unit.1.6.waveform.posn.167.channel=2147483646
unit.1.6.waveform.posn.167.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.167.radix=1
unit.1.6.waveform.posn.167.type=bus
unit.1.6.waveform.posn.168.channel=2147483646
unit.1.6.waveform.posn.168.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.168.radix=1
unit.1.6.waveform.posn.168.type=bus
unit.1.6.waveform.posn.169.channel=2147483646
unit.1.6.waveform.posn.169.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.169.radix=1
unit.1.6.waveform.posn.169.type=bus
unit.1.6.waveform.posn.17.channel=226
unit.1.6.waveform.posn.17.name=MY_NPI_ADDRREQ
unit.1.6.waveform.posn.17.radix=1
unit.1.6.waveform.posn.17.type=signal
unit.1.6.waveform.posn.170.channel=2147483646
unit.1.6.waveform.posn.170.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.170.radix=1
unit.1.6.waveform.posn.170.type=bus
unit.1.6.waveform.posn.171.channel=2147483646
unit.1.6.waveform.posn.171.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.171.radix=1
unit.1.6.waveform.posn.171.type=bus
unit.1.6.waveform.posn.172.channel=2147483646
unit.1.6.waveform.posn.172.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.172.radix=1
unit.1.6.waveform.posn.172.type=bus
unit.1.6.waveform.posn.173.channel=2147483646
unit.1.6.waveform.posn.173.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.173.radix=1
unit.1.6.waveform.posn.173.type=bus
unit.1.6.waveform.posn.174.channel=2147483646
unit.1.6.waveform.posn.174.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.174.radix=1
unit.1.6.waveform.posn.174.type=bus
unit.1.6.waveform.posn.175.channel=2147483646
unit.1.6.waveform.posn.175.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.175.radix=1
unit.1.6.waveform.posn.175.type=bus
unit.1.6.waveform.posn.176.channel=2147483646
unit.1.6.waveform.posn.176.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.176.radix=1
unit.1.6.waveform.posn.176.type=bus
unit.1.6.waveform.posn.177.channel=2147483646
unit.1.6.waveform.posn.177.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.177.radix=1
unit.1.6.waveform.posn.177.type=bus
unit.1.6.waveform.posn.178.channel=2147483646
unit.1.6.waveform.posn.178.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.178.radix=1
unit.1.6.waveform.posn.178.type=bus
unit.1.6.waveform.posn.179.channel=2147483646
unit.1.6.waveform.posn.179.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.179.radix=1
unit.1.6.waveform.posn.179.type=bus
unit.1.6.waveform.posn.18.channel=227
unit.1.6.waveform.posn.18.name=WR_FIFO_PUSH
unit.1.6.waveform.posn.18.radix=1
unit.1.6.waveform.posn.18.type=signal
unit.1.6.waveform.posn.180.channel=2147483646
unit.1.6.waveform.posn.180.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.180.radix=1
unit.1.6.waveform.posn.180.type=bus
unit.1.6.waveform.posn.181.channel=2147483646
unit.1.6.waveform.posn.181.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.181.radix=1
unit.1.6.waveform.posn.181.type=bus
unit.1.6.waveform.posn.182.channel=2147483646
unit.1.6.waveform.posn.182.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.182.radix=1
unit.1.6.waveform.posn.182.type=bus
unit.1.6.waveform.posn.183.channel=2147483646
unit.1.6.waveform.posn.183.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.183.radix=1
unit.1.6.waveform.posn.183.type=bus
unit.1.6.waveform.posn.184.channel=2147483646
unit.1.6.waveform.posn.184.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.184.radix=1
unit.1.6.waveform.posn.184.type=bus
unit.1.6.waveform.posn.185.channel=2147483646
unit.1.6.waveform.posn.185.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.185.radix=1
unit.1.6.waveform.posn.185.type=bus
unit.1.6.waveform.posn.186.channel=2147483646
unit.1.6.waveform.posn.186.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.186.radix=1
unit.1.6.waveform.posn.186.type=bus
unit.1.6.waveform.posn.187.channel=2147483646
unit.1.6.waveform.posn.187.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.187.radix=1
unit.1.6.waveform.posn.187.type=bus
unit.1.6.waveform.posn.188.channel=2147483646
unit.1.6.waveform.posn.188.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.188.radix=1
unit.1.6.waveform.posn.188.type=bus
unit.1.6.waveform.posn.189.channel=2147483646
unit.1.6.waveform.posn.189.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.189.radix=1
unit.1.6.waveform.posn.189.type=bus
unit.1.6.waveform.posn.19.channel=228
unit.1.6.waveform.posn.19.name=MY_NPI_RDFIFO_POP
unit.1.6.waveform.posn.19.radix=1
unit.1.6.waveform.posn.19.type=signal
unit.1.6.waveform.posn.190.channel=2147483646
unit.1.6.waveform.posn.190.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.190.radix=1
unit.1.6.waveform.posn.190.type=bus
unit.1.6.waveform.posn.191.channel=2147483646
unit.1.6.waveform.posn.191.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.191.radix=1
unit.1.6.waveform.posn.191.type=bus
unit.1.6.waveform.posn.192.channel=2147483646
unit.1.6.waveform.posn.192.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.192.radix=1
unit.1.6.waveform.posn.192.type=bus
unit.1.6.waveform.posn.193.channel=2147483646
unit.1.6.waveform.posn.193.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.193.radix=1
unit.1.6.waveform.posn.193.type=bus
unit.1.6.waveform.posn.194.channel=2147483646
unit.1.6.waveform.posn.194.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.194.radix=1
unit.1.6.waveform.posn.194.type=bus
unit.1.6.waveform.posn.195.channel=2147483646
unit.1.6.waveform.posn.195.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.195.radix=1
unit.1.6.waveform.posn.195.type=bus
unit.1.6.waveform.posn.196.channel=2147483646
unit.1.6.waveform.posn.196.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.196.radix=1
unit.1.6.waveform.posn.196.type=bus
unit.1.6.waveform.posn.197.channel=2147483646
unit.1.6.waveform.posn.197.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.197.radix=1
unit.1.6.waveform.posn.197.type=bus
unit.1.6.waveform.posn.198.channel=2147483646
unit.1.6.waveform.posn.198.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.198.radix=1
unit.1.6.waveform.posn.198.type=bus
unit.1.6.waveform.posn.199.channel=2147483646
unit.1.6.waveform.posn.199.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.199.radix=1
unit.1.6.waveform.posn.199.type=bus
unit.1.6.waveform.posn.2.channel=2147483646
unit.1.6.waveform.posn.2.name=RD_FSM_VALUE
unit.1.6.waveform.posn.2.radix=1
unit.1.6.waveform.posn.2.type=bus
unit.1.6.waveform.posn.20.channel=229
unit.1.6.waveform.posn.20.name=MY_NPI_RNW
unit.1.6.waveform.posn.20.radix=1
unit.1.6.waveform.posn.20.type=signal
unit.1.6.waveform.posn.200.channel=2147483646
unit.1.6.waveform.posn.200.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.200.radix=1
unit.1.6.waveform.posn.200.type=bus
unit.1.6.waveform.posn.201.channel=2147483646
unit.1.6.waveform.posn.201.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.201.radix=1
unit.1.6.waveform.posn.201.type=bus
unit.1.6.waveform.posn.202.channel=2147483646
unit.1.6.waveform.posn.202.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.202.radix=1
unit.1.6.waveform.posn.202.type=bus
unit.1.6.waveform.posn.203.channel=2147483646
unit.1.6.waveform.posn.203.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.203.radix=1
unit.1.6.waveform.posn.203.type=bus
unit.1.6.waveform.posn.204.channel=2147483646
unit.1.6.waveform.posn.204.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.204.radix=1
unit.1.6.waveform.posn.204.type=bus
unit.1.6.waveform.posn.205.channel=2147483646
unit.1.6.waveform.posn.205.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.205.radix=1
unit.1.6.waveform.posn.205.type=bus
unit.1.6.waveform.posn.206.channel=2147483646
unit.1.6.waveform.posn.206.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.206.radix=1
unit.1.6.waveform.posn.206.type=bus
unit.1.6.waveform.posn.207.channel=2147483646
unit.1.6.waveform.posn.207.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.207.radix=1
unit.1.6.waveform.posn.207.type=bus
unit.1.6.waveform.posn.208.channel=2147483646
unit.1.6.waveform.posn.208.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.208.radix=1
unit.1.6.waveform.posn.208.type=bus
unit.1.6.waveform.posn.209.channel=2147483646
unit.1.6.waveform.posn.209.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.209.radix=1
unit.1.6.waveform.posn.209.type=bus
unit.1.6.waveform.posn.21.channel=230
unit.1.6.waveform.posn.21.name=REQ_TYPE
unit.1.6.waveform.posn.21.radix=1
unit.1.6.waveform.posn.21.type=signal
unit.1.6.waveform.posn.210.channel=2147483646
unit.1.6.waveform.posn.210.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.210.radix=1
unit.1.6.waveform.posn.210.type=bus
unit.1.6.waveform.posn.211.channel=2147483646
unit.1.6.waveform.posn.211.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.211.radix=1
unit.1.6.waveform.posn.211.type=bus
unit.1.6.waveform.posn.212.channel=2147483646
unit.1.6.waveform.posn.212.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.212.radix=1
unit.1.6.waveform.posn.212.type=bus
unit.1.6.waveform.posn.213.channel=2147483646
unit.1.6.waveform.posn.213.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.213.radix=1
unit.1.6.waveform.posn.213.type=bus
unit.1.6.waveform.posn.214.channel=2147483646
unit.1.6.waveform.posn.214.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.214.radix=1
unit.1.6.waveform.posn.214.type=bus
unit.1.6.waveform.posn.215.channel=2147483646
unit.1.6.waveform.posn.215.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.215.radix=1
unit.1.6.waveform.posn.215.type=bus
unit.1.6.waveform.posn.216.channel=2147483646
unit.1.6.waveform.posn.216.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.216.radix=1
unit.1.6.waveform.posn.216.type=bus
unit.1.6.waveform.posn.217.channel=2147483646
unit.1.6.waveform.posn.217.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.217.radix=1
unit.1.6.waveform.posn.217.type=bus
unit.1.6.waveform.posn.218.channel=2147483646
unit.1.6.waveform.posn.218.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.218.radix=1
unit.1.6.waveform.posn.218.type=bus
unit.1.6.waveform.posn.219.channel=2147483646
unit.1.6.waveform.posn.219.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.219.radix=1
unit.1.6.waveform.posn.219.type=bus
unit.1.6.waveform.posn.22.channel=231
unit.1.6.waveform.posn.22.name=RD_REQ_DONE_OUT
unit.1.6.waveform.posn.22.radix=1
unit.1.6.waveform.posn.22.type=signal
unit.1.6.waveform.posn.220.channel=2147483646
unit.1.6.waveform.posn.220.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.220.radix=1
unit.1.6.waveform.posn.220.type=bus
unit.1.6.waveform.posn.221.channel=2147483646
unit.1.6.waveform.posn.221.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.221.radix=1
unit.1.6.waveform.posn.221.type=bus
unit.1.6.waveform.posn.222.channel=2147483646
unit.1.6.waveform.posn.222.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.222.radix=1
unit.1.6.waveform.posn.222.type=bus
unit.1.6.waveform.posn.223.channel=2147483646
unit.1.6.waveform.posn.223.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.223.radix=1
unit.1.6.waveform.posn.223.type=bus
unit.1.6.waveform.posn.224.channel=2147483646
unit.1.6.waveform.posn.224.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.224.radix=1
unit.1.6.waveform.posn.224.type=bus
unit.1.6.waveform.posn.225.channel=2147483646
unit.1.6.waveform.posn.225.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.225.radix=1
unit.1.6.waveform.posn.225.type=bus
unit.1.6.waveform.posn.226.channel=2147483646
unit.1.6.waveform.posn.226.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.226.radix=1
unit.1.6.waveform.posn.226.type=bus
unit.1.6.waveform.posn.23.channel=232
unit.1.6.waveform.posn.23.name=WR_REQ_DONE_OUT
unit.1.6.waveform.posn.23.radix=1
unit.1.6.waveform.posn.23.type=signal
unit.1.6.waveform.posn.24.channel=233
unit.1.6.waveform.posn.24.name=MY_NPI_RDFIFO_FLUSH
unit.1.6.waveform.posn.24.radix=1
unit.1.6.waveform.posn.24.type=signal
unit.1.6.waveform.posn.25.channel=234
unit.1.6.waveform.posn.25.name=data_from_mem_we
unit.1.6.waveform.posn.25.radix=1
unit.1.6.waveform.posn.25.type=signal
unit.1.6.waveform.posn.26.channel=235
unit.1.6.waveform.posn.26.name=core_rfd
unit.1.6.waveform.posn.26.radix=1
unit.1.6.waveform.posn.26.type=signal
unit.1.6.waveform.posn.27.channel=2147483646
unit.1.6.waveform.posn.27.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.27.radix=1
unit.1.6.waveform.posn.27.type=bus
unit.1.6.waveform.posn.28.channel=2147483646
unit.1.6.waveform.posn.28.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.28.radix=1
unit.1.6.waveform.posn.28.type=bus
unit.1.6.waveform.posn.29.channel=2147483646
unit.1.6.waveform.posn.29.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.29.radix=1
unit.1.6.waveform.posn.29.type=bus
unit.1.6.waveform.posn.3.channel=2147483646
unit.1.6.waveform.posn.3.name=WR_FIFO_BE
unit.1.6.waveform.posn.3.radix=1
unit.1.6.waveform.posn.3.type=bus
unit.1.6.waveform.posn.30.channel=2147483646
unit.1.6.waveform.posn.30.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.30.radix=1
unit.1.6.waveform.posn.30.type=bus
unit.1.6.waveform.posn.31.channel=2147483646
unit.1.6.waveform.posn.31.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.31.radix=1
unit.1.6.waveform.posn.31.type=bus
unit.1.6.waveform.posn.32.channel=2147483646
unit.1.6.waveform.posn.32.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.32.radix=1
unit.1.6.waveform.posn.32.type=bus
unit.1.6.waveform.posn.33.channel=2147483646
unit.1.6.waveform.posn.33.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.33.radix=1
unit.1.6.waveform.posn.33.type=bus
unit.1.6.waveform.posn.34.channel=2147483646
unit.1.6.waveform.posn.34.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.34.radix=1
unit.1.6.waveform.posn.34.type=bus
unit.1.6.waveform.posn.35.channel=2147483646
unit.1.6.waveform.posn.35.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.35.radix=1
unit.1.6.waveform.posn.35.type=bus
unit.1.6.waveform.posn.36.channel=2147483646
unit.1.6.waveform.posn.36.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.36.radix=1
unit.1.6.waveform.posn.36.type=bus
unit.1.6.waveform.posn.37.channel=2147483646
unit.1.6.waveform.posn.37.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.37.radix=1
unit.1.6.waveform.posn.37.type=bus
unit.1.6.waveform.posn.38.channel=2147483646
unit.1.6.waveform.posn.38.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.38.radix=1
unit.1.6.waveform.posn.38.type=bus
unit.1.6.waveform.posn.39.channel=2147483646
unit.1.6.waveform.posn.39.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.39.radix=1
unit.1.6.waveform.posn.39.type=bus
unit.1.6.waveform.posn.4.channel=2147483646
unit.1.6.waveform.posn.4.name=WR_COUNTER
unit.1.6.waveform.posn.4.radix=1
unit.1.6.waveform.posn.4.type=bus
unit.1.6.waveform.posn.40.channel=2147483646
unit.1.6.waveform.posn.40.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.40.radix=1
unit.1.6.waveform.posn.40.type=bus
unit.1.6.waveform.posn.41.channel=2147483646
unit.1.6.waveform.posn.41.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.41.radix=1
unit.1.6.waveform.posn.41.type=bus
unit.1.6.waveform.posn.42.channel=2147483646
unit.1.6.waveform.posn.42.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.42.radix=1
unit.1.6.waveform.posn.42.type=bus
unit.1.6.waveform.posn.43.channel=2147483646
unit.1.6.waveform.posn.43.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.43.radix=1
unit.1.6.waveform.posn.43.type=bus
unit.1.6.waveform.posn.44.channel=2147483646
unit.1.6.waveform.posn.44.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.44.radix=1
unit.1.6.waveform.posn.44.type=bus
unit.1.6.waveform.posn.45.channel=2147483646
unit.1.6.waveform.posn.45.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.45.radix=1
unit.1.6.waveform.posn.45.type=bus
unit.1.6.waveform.posn.46.channel=2147483646
unit.1.6.waveform.posn.46.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.46.radix=1
unit.1.6.waveform.posn.46.type=bus
unit.1.6.waveform.posn.47.channel=2147483646
unit.1.6.waveform.posn.47.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.47.radix=1
unit.1.6.waveform.posn.47.type=bus
unit.1.6.waveform.posn.48.channel=2147483646
unit.1.6.waveform.posn.48.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.48.radix=1
unit.1.6.waveform.posn.48.type=bus
unit.1.6.waveform.posn.49.channel=2147483646
unit.1.6.waveform.posn.49.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.49.radix=1
unit.1.6.waveform.posn.49.type=bus
unit.1.6.waveform.posn.5.channel=2147483646
unit.1.6.waveform.posn.5.name=NUM_WR_BYTES_LEFT
unit.1.6.waveform.posn.5.radix=4
unit.1.6.waveform.posn.5.type=bus
unit.1.6.waveform.posn.50.channel=2147483646
unit.1.6.waveform.posn.50.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.50.radix=1
unit.1.6.waveform.posn.50.type=bus
unit.1.6.waveform.posn.51.channel=2147483646
unit.1.6.waveform.posn.51.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.51.radix=1
unit.1.6.waveform.posn.51.type=bus
unit.1.6.waveform.posn.52.channel=2147483646
unit.1.6.waveform.posn.52.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.52.radix=1
unit.1.6.waveform.posn.52.type=bus
unit.1.6.waveform.posn.53.channel=2147483646
unit.1.6.waveform.posn.53.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.53.radix=1
unit.1.6.waveform.posn.53.type=bus
unit.1.6.waveform.posn.54.channel=2147483646
unit.1.6.waveform.posn.54.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.54.radix=1
unit.1.6.waveform.posn.54.type=bus
unit.1.6.waveform.posn.55.channel=2147483646
unit.1.6.waveform.posn.55.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.55.radix=1
unit.1.6.waveform.posn.55.type=bus
unit.1.6.waveform.posn.56.channel=2147483646
unit.1.6.waveform.posn.56.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.56.radix=1
unit.1.6.waveform.posn.56.type=bus
unit.1.6.waveform.posn.57.channel=2147483646
unit.1.6.waveform.posn.57.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.57.radix=1
unit.1.6.waveform.posn.57.type=bus
unit.1.6.waveform.posn.58.channel=2147483646
unit.1.6.waveform.posn.58.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.58.radix=1
unit.1.6.waveform.posn.58.type=bus
unit.1.6.waveform.posn.59.channel=2147483646
unit.1.6.waveform.posn.59.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.59.radix=1
unit.1.6.waveform.posn.59.type=bus
unit.1.6.waveform.posn.6.channel=2147483646
unit.1.6.waveform.posn.6.name=MY_NPI_ADDR
unit.1.6.waveform.posn.6.radix=1
unit.1.6.waveform.posn.6.type=bus
unit.1.6.waveform.posn.60.channel=2147483646
unit.1.6.waveform.posn.60.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.60.radix=1
unit.1.6.waveform.posn.60.type=bus
unit.1.6.waveform.posn.61.channel=2147483646
unit.1.6.waveform.posn.61.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.61.radix=1
unit.1.6.waveform.posn.61.type=bus
unit.1.6.waveform.posn.62.channel=2147483646
unit.1.6.waveform.posn.62.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.62.radix=1
unit.1.6.waveform.posn.62.type=bus
unit.1.6.waveform.posn.63.channel=2147483646
unit.1.6.waveform.posn.63.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.63.radix=1
unit.1.6.waveform.posn.63.type=bus
unit.1.6.waveform.posn.64.channel=2147483646
unit.1.6.waveform.posn.64.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.64.radix=1
unit.1.6.waveform.posn.64.type=bus
unit.1.6.waveform.posn.65.channel=2147483646
unit.1.6.waveform.posn.65.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.65.radix=1
unit.1.6.waveform.posn.65.type=bus
unit.1.6.waveform.posn.66.channel=2147483646
unit.1.6.waveform.posn.66.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.66.radix=1
unit.1.6.waveform.posn.66.type=bus
unit.1.6.waveform.posn.67.channel=2147483646
unit.1.6.waveform.posn.67.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.67.radix=1
unit.1.6.waveform.posn.67.type=bus
unit.1.6.waveform.posn.68.channel=2147483646
unit.1.6.waveform.posn.68.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.68.radix=1
unit.1.6.waveform.posn.68.type=bus
unit.1.6.waveform.posn.69.channel=2147483646
unit.1.6.waveform.posn.69.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.69.radix=1
unit.1.6.waveform.posn.69.type=bus
unit.1.6.waveform.posn.7.channel=2147483646
unit.1.6.waveform.posn.7.name=DATA_TO_MEM
unit.1.6.waveform.posn.7.radix=1
unit.1.6.waveform.posn.7.type=bus
unit.1.6.waveform.posn.70.channel=2147483646
unit.1.6.waveform.posn.70.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.70.radix=1
unit.1.6.waveform.posn.70.type=bus
unit.1.6.waveform.posn.71.channel=2147483646
unit.1.6.waveform.posn.71.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.71.radix=1
unit.1.6.waveform.posn.71.type=bus
unit.1.6.waveform.posn.72.channel=2147483646
unit.1.6.waveform.posn.72.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.72.radix=1
unit.1.6.waveform.posn.72.type=bus
unit.1.6.waveform.posn.73.channel=2147483646
unit.1.6.waveform.posn.73.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.73.radix=1
unit.1.6.waveform.posn.73.type=bus
unit.1.6.waveform.posn.74.channel=2147483646
unit.1.6.waveform.posn.74.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.74.radix=1
unit.1.6.waveform.posn.74.type=bus
unit.1.6.waveform.posn.75.channel=2147483646
unit.1.6.waveform.posn.75.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.75.radix=1
unit.1.6.waveform.posn.75.type=bus
unit.1.6.waveform.posn.76.channel=2147483646
unit.1.6.waveform.posn.76.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.76.radix=1
unit.1.6.waveform.posn.76.type=bus
unit.1.6.waveform.posn.77.channel=2147483646
unit.1.6.waveform.posn.77.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.77.radix=1
unit.1.6.waveform.posn.77.type=bus
unit.1.6.waveform.posn.78.channel=2147483646
unit.1.6.waveform.posn.78.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.78.radix=1
unit.1.6.waveform.posn.78.type=bus
unit.1.6.waveform.posn.79.channel=2147483646
unit.1.6.waveform.posn.79.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.79.radix=1
unit.1.6.waveform.posn.79.type=bus
unit.1.6.waveform.posn.8.channel=2147483646
unit.1.6.waveform.posn.8.name=DATA_FROM_MEM
unit.1.6.waveform.posn.8.radix=1
unit.1.6.waveform.posn.8.type=bus
unit.1.6.waveform.posn.80.channel=2147483646
unit.1.6.waveform.posn.80.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.80.radix=1
unit.1.6.waveform.posn.80.type=bus
unit.1.6.waveform.posn.81.channel=2147483646
unit.1.6.waveform.posn.81.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.81.radix=1
unit.1.6.waveform.posn.81.type=bus
unit.1.6.waveform.posn.82.channel=2147483646
unit.1.6.waveform.posn.82.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.82.radix=1
unit.1.6.waveform.posn.82.type=bus
unit.1.6.waveform.posn.83.channel=2147483646
unit.1.6.waveform.posn.83.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.83.radix=1
unit.1.6.waveform.posn.83.type=bus
unit.1.6.waveform.posn.84.channel=2147483646
unit.1.6.waveform.posn.84.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.84.radix=1
unit.1.6.waveform.posn.84.type=bus
unit.1.6.waveform.posn.85.channel=2147483646
unit.1.6.waveform.posn.85.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.85.radix=1
unit.1.6.waveform.posn.85.type=bus
unit.1.6.waveform.posn.86.channel=2147483646
unit.1.6.waveform.posn.86.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.86.radix=1
unit.1.6.waveform.posn.86.type=bus
unit.1.6.waveform.posn.87.channel=2147483646
unit.1.6.waveform.posn.87.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.87.radix=1
unit.1.6.waveform.posn.87.type=bus
unit.1.6.waveform.posn.88.channel=2147483646
unit.1.6.waveform.posn.88.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.88.radix=1
unit.1.6.waveform.posn.88.type=bus
unit.1.6.waveform.posn.89.channel=2147483646
unit.1.6.waveform.posn.89.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.89.radix=1
unit.1.6.waveform.posn.89.type=bus
unit.1.6.waveform.posn.9.channel=218
unit.1.6.waveform.posn.9.name=DATA_TO_MEM_WE
unit.1.6.waveform.posn.9.radix=1
unit.1.6.waveform.posn.9.type=signal
unit.1.6.waveform.posn.90.channel=2147483646
unit.1.6.waveform.posn.90.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.90.radix=1
unit.1.6.waveform.posn.90.type=bus
unit.1.6.waveform.posn.91.channel=2147483646
unit.1.6.waveform.posn.91.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.91.radix=1
unit.1.6.waveform.posn.91.type=bus
unit.1.6.waveform.posn.92.channel=2147483646
unit.1.6.waveform.posn.92.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.92.radix=1
unit.1.6.waveform.posn.92.type=bus
unit.1.6.waveform.posn.93.channel=2147483646
unit.1.6.waveform.posn.93.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.93.radix=1
unit.1.6.waveform.posn.93.type=bus
unit.1.6.waveform.posn.94.channel=2147483646
unit.1.6.waveform.posn.94.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.94.radix=1
unit.1.6.waveform.posn.94.type=bus
unit.1.6.waveform.posn.95.channel=2147483646
unit.1.6.waveform.posn.95.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.95.radix=1
unit.1.6.waveform.posn.95.type=bus
unit.1.6.waveform.posn.96.channel=2147483646
unit.1.6.waveform.posn.96.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.96.radix=1
unit.1.6.waveform.posn.96.type=bus
unit.1.6.waveform.posn.97.channel=2147483646
unit.1.6.waveform.posn.97.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.97.radix=1
unit.1.6.waveform.posn.97.type=bus
unit.1.6.waveform.posn.98.channel=2147483646
unit.1.6.waveform.posn.98.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.98.radix=1
unit.1.6.waveform.posn.98.type=bus
unit.1.6.waveform.posn.99.channel=2147483646
unit.1.6.waveform.posn.99.name=XIL_NPI_WRFIFO_DATA_I
unit.1.6.waveform.posn.99.radix=1
unit.1.6.waveform.posn.99.type=bus
unit.1.7.0.HEIGHT0=0.48064086
unit.1.7.0.TriggerRow0=1
unit.1.7.0.TriggerRow1=1
unit.1.7.0.TriggerRow2=1
unit.1.7.0.WIDTH0=0.81860465
unit.1.7.0.X0=0.04
unit.1.7.0.Y0=0.21361816
unit.1.7.1.HEIGHT1=0.70761013
unit.1.7.1.WIDTH1=0.85023254
unit.1.7.1.X1=0.10604651
unit.1.7.1.Y1=0.16021362
unit.1.7.MFBitsA0=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.7.MFBitsA1=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.7.MFBitsA2=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.7.MFBitsA3=XXXX
unit.1.7.MFBitsA4=XXXXX1XXXXXXXXXXXXXXXXXX
unit.1.7.MFBitsA5=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.7.MFBitsA6=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.7.MFBitsA7=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.7.MFBitsB0=00000000000000000000000000000000
unit.1.7.MFBitsB1=00000000000000000000000000000000
unit.1.7.MFBitsB2=00000000000000000000000000000000
unit.1.7.MFBitsB3=0000
unit.1.7.MFBitsB4=000000000000000000000000
unit.1.7.MFBitsB5=0000000000000000000000000000000000000000000000000000000000000000
unit.1.7.MFBitsB6=0000000000000000000000000000000000000000000000000000000000000000
unit.1.7.MFBitsB7=00000000000000000000000000000000
unit.1.7.MFCompareA0=0
unit.1.7.MFCompareA1=0
unit.1.7.MFCompareA2=0
unit.1.7.MFCompareA3=0
unit.1.7.MFCompareA4=0
unit.1.7.MFCompareA5=0
unit.1.7.MFCompareA6=0
unit.1.7.MFCompareA7=0
unit.1.7.MFCompareB0=999
unit.1.7.MFCompareB1=999
unit.1.7.MFCompareB2=999
unit.1.7.MFCompareB3=999
unit.1.7.MFCompareB4=999
unit.1.7.MFCompareB5=999
unit.1.7.MFCompareB6=999
unit.1.7.MFCompareB7=999
unit.1.7.MFCount=8
unit.1.7.MFDisplay0=0
unit.1.7.MFDisplay1=0
unit.1.7.MFDisplay2=0
unit.1.7.MFDisplay3=0
unit.1.7.MFDisplay4=0
unit.1.7.MFDisplay5=0
unit.1.7.MFDisplay6=0
unit.1.7.MFDisplay7=0
unit.1.7.MFEventType0=3
unit.1.7.MFEventType1=3
unit.1.7.MFEventType2=3
unit.1.7.MFEventType3=3
unit.1.7.MFEventType4=3
unit.1.7.MFEventType5=3
unit.1.7.MFEventType6=3
unit.1.7.MFEventType7=3
unit.1.7.RunMode=SINGLE RUN
unit.1.7.SQCondition=All Data
unit.1.7.SQContiguous0=0
unit.1.7.SequencerOn=0
unit.1.7.TCActive=0
unit.1.7.TCAdvanced0=0
unit.1.7.TCCondition0_0=M4
unit.1.7.TCCondition0_1=
unit.1.7.TCConditionType0=0
unit.1.7.TCCount=1
unit.1.7.TCEventCount0=1
unit.1.7.TCEventType0=3
unit.1.7.TCName0=TriggerCondition0
unit.1.7.TCOutputEnable0=0
unit.1.7.TCOutputHigh0=1
unit.1.7.TCOutputMode0=0
unit.1.7.browser_tree_state<Data\ Port>=1
unit.1.7.browser_tree_state<TRIG4>=0
unit.1.7.coretype=ILA
unit.1.7.eventCount0=1
unit.1.7.eventCount1=1
unit.1.7.eventCount2=1
unit.1.7.eventCount3=1
unit.1.7.eventCount4=1
unit.1.7.eventCount5=1
unit.1.7.eventCount6=1
unit.1.7.eventCount7=1
unit.1.7.port.-1.b.0.alias=INIT_RD_ADDR
unit.1.7.port.-1.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.7.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.b.0.name=BUS_0
unit.1.7.port.-1.b.0.orderindex=284
unit.1.7.port.-1.b.0.radix=Hex
unit.1.7.port.-1.b.0.signedOffset=0.0
unit.1.7.port.-1.b.0.signedPrecision=0
unit.1.7.port.-1.b.0.signedScaleFactor=1.0
unit.1.7.port.-1.b.0.tokencount=0
unit.1.7.port.-1.b.0.unsignedOffset=0.0
unit.1.7.port.-1.b.0.unsignedPrecision=0
unit.1.7.port.-1.b.0.unsignedScaleFactor=1.0
unit.1.7.port.-1.b.0.visible=1
unit.1.7.port.-1.b.1.alias=INIT_WR_ADDR
unit.1.7.port.-1.b.1.channellist=32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63
unit.1.7.port.-1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.b.1.name=BUS_1
unit.1.7.port.-1.b.1.orderindex=285
unit.1.7.port.-1.b.1.radix=Hex
unit.1.7.port.-1.b.1.signedOffset=0.0
unit.1.7.port.-1.b.1.signedPrecision=0
unit.1.7.port.-1.b.1.signedScaleFactor=1.0
unit.1.7.port.-1.b.1.tokencount=0
unit.1.7.port.-1.b.1.unsignedOffset=0.0
unit.1.7.port.-1.b.1.unsignedPrecision=0
unit.1.7.port.-1.b.1.unsignedScaleFactor=1.0
unit.1.7.port.-1.b.1.visible=1
unit.1.7.port.-1.b.2.alias=LL_RX_FSM_VALUE_R
unit.1.7.port.-1.b.2.channellist=96 97 98 99
unit.1.7.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.b.2.name=BUS_3
unit.1.7.port.-1.b.2.orderindex=287
unit.1.7.port.-1.b.2.radix=Hex
unit.1.7.port.-1.b.2.signedOffset=0.0
unit.1.7.port.-1.b.2.signedPrecision=0
unit.1.7.port.-1.b.2.signedScaleFactor=1.0
unit.1.7.port.-1.b.2.tokencount=0
unit.1.7.port.-1.b.2.unsignedOffset=0.0
unit.1.7.port.-1.b.2.unsignedPrecision=0
unit.1.7.port.-1.b.2.unsignedScaleFactor=1.0
unit.1.7.port.-1.b.2.visible=1
unit.1.7.port.-1.b.3.alias=NUM_RD_BYTES
unit.1.7.port.-1.b.3.channellist=252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283
unit.1.7.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.b.3.name=BUS_7
unit.1.7.port.-1.b.3.orderindex=291
unit.1.7.port.-1.b.3.radix=Unsigned
unit.1.7.port.-1.b.3.signedOffset=0.0
unit.1.7.port.-1.b.3.signedPrecision=0
unit.1.7.port.-1.b.3.signedScaleFactor=1.0
unit.1.7.port.-1.b.3.tokencount=0
unit.1.7.port.-1.b.3.unsignedOffset=0.0
unit.1.7.port.-1.b.3.unsignedPrecision=0
unit.1.7.port.-1.b.3.unsignedScaleFactor=1.0
unit.1.7.port.-1.b.3.visible=1
unit.1.7.port.-1.b.4.alias=RX_FIFO_DIN
unit.1.7.port.-1.b.4.channellist=64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95
unit.1.7.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.b.4.name=BUS_2
unit.1.7.port.-1.b.4.orderindex=286
unit.1.7.port.-1.b.4.radix=Unsigned
unit.1.7.port.-1.b.4.signedOffset=0.0
unit.1.7.port.-1.b.4.signedPrecision=0
unit.1.7.port.-1.b.4.signedScaleFactor=1.0
unit.1.7.port.-1.b.4.tokencount=0
unit.1.7.port.-1.b.4.unsignedOffset=0.0
unit.1.7.port.-1.b.4.unsignedPrecision=0
unit.1.7.port.-1.b.4.unsignedScaleFactor=1.0
unit.1.7.port.-1.b.4.visible=1
unit.1.7.port.-1.b.5.alias=RX_FIFO_DOUT
unit.1.7.port.-1.b.5.channellist=124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187
unit.1.7.port.-1.b.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.b.5.name=BUS_5
unit.1.7.port.-1.b.5.orderindex=289
unit.1.7.port.-1.b.5.radix=Hex
unit.1.7.port.-1.b.5.signedOffset=0.0
unit.1.7.port.-1.b.5.signedPrecision=0
unit.1.7.port.-1.b.5.signedScaleFactor=1.0
unit.1.7.port.-1.b.5.tokencount=0
unit.1.7.port.-1.b.5.unsignedOffset=0.0
unit.1.7.port.-1.b.5.unsignedPrecision=0
unit.1.7.port.-1.b.5.unsignedScaleFactor=1.0
unit.1.7.port.-1.b.5.visible=1
unit.1.7.port.-1.b.6.alias=TRIG4
unit.1.7.port.-1.b.6.channellist=100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123
unit.1.7.port.-1.b.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.b.6.name=BUS_4
unit.1.7.port.-1.b.6.orderindex=288
unit.1.7.port.-1.b.6.radix=Hex
unit.1.7.port.-1.b.6.signedOffset=0.0
unit.1.7.port.-1.b.6.signedPrecision=0
unit.1.7.port.-1.b.6.signedScaleFactor=1.0
unit.1.7.port.-1.b.6.tokencount=0
unit.1.7.port.-1.b.6.unsignedOffset=0.0
unit.1.7.port.-1.b.6.unsignedPrecision=0
unit.1.7.port.-1.b.6.unsignedScaleFactor=1.0
unit.1.7.port.-1.b.6.visible=1
unit.1.7.port.-1.b.7.alias=TX_FIFO_DIN
unit.1.7.port.-1.b.7.channellist=188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251
unit.1.7.port.-1.b.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.b.7.name=BUS_6
unit.1.7.port.-1.b.7.orderindex=290
unit.1.7.port.-1.b.7.radix=Hex
unit.1.7.port.-1.b.7.signedOffset=0.0
unit.1.7.port.-1.b.7.signedPrecision=0
unit.1.7.port.-1.b.7.signedScaleFactor=1.0
unit.1.7.port.-1.b.7.tokencount=0
unit.1.7.port.-1.b.7.unsignedOffset=0.0
unit.1.7.port.-1.b.7.unsignedPrecision=0
unit.1.7.port.-1.b.7.unsignedScaleFactor=1.0
unit.1.7.port.-1.b.7.visible=1
unit.1.7.port.-1.buscount=8
unit.1.7.port.-1.channelcount=284
unit.1.7.port.-1.s.0.alias=
unit.1.7.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.0.name=INIT_RD_ADDR[0]
unit.1.7.port.-1.s.0.orderindex=-1
unit.1.7.port.-1.s.0.visible=1
unit.1.7.port.-1.s.1.alias=
unit.1.7.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.1.name=INIT_RD_ADDR[1]
unit.1.7.port.-1.s.1.orderindex=-1
unit.1.7.port.-1.s.1.visible=1
unit.1.7.port.-1.s.10.alias=
unit.1.7.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.10.name=INIT_RD_ADDR[10]
unit.1.7.port.-1.s.10.orderindex=-1
unit.1.7.port.-1.s.10.visible=1
unit.1.7.port.-1.s.100.alias=
unit.1.7.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.100.name=CORE_RFD
unit.1.7.port.-1.s.100.orderindex=-1
unit.1.7.port.-1.s.100.visible=1
unit.1.7.port.-1.s.101.alias=
unit.1.7.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.101.name=DATA_TO_MEM_WE
unit.1.7.port.-1.s.101.orderindex=-1
unit.1.7.port.-1.s.101.visible=1
unit.1.7.port.-1.s.102.alias=
unit.1.7.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.102.name=DATA_TO_MEM_RE
unit.1.7.port.-1.s.102.orderindex=-1
unit.1.7.port.-1.s.102.visible=1
unit.1.7.port.-1.s.103.alias=
unit.1.7.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.103.name=RD_REQ_START
unit.1.7.port.-1.s.103.orderindex=-1
unit.1.7.port.-1.s.103.visible=1
unit.1.7.port.-1.s.104.alias=
unit.1.7.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.104.name=WR_REQ_START
unit.1.7.port.-1.s.104.orderindex=-1
unit.1.7.port.-1.s.104.visible=1
unit.1.7.port.-1.s.105.alias=
unit.1.7.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.105.name=RD_REQ_DONE
unit.1.7.port.-1.s.105.orderindex=-1
unit.1.7.port.-1.s.105.visible=1
unit.1.7.port.-1.s.106.alias=
unit.1.7.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.106.name=WR_REQ_DONE
unit.1.7.port.-1.s.106.orderindex=-1
unit.1.7.port.-1.s.106.visible=1
unit.1.7.port.-1.s.107.alias=
unit.1.7.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.107.name=TRIG4[7]
unit.1.7.port.-1.s.107.orderindex=-1
unit.1.7.port.-1.s.107.visible=1
unit.1.7.port.-1.s.108.alias=
unit.1.7.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.108.name=TX_FIFO_RE
unit.1.7.port.-1.s.108.orderindex=-1
unit.1.7.port.-1.s.108.visible=1
unit.1.7.port.-1.s.109.alias=
unit.1.7.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.109.name=TX_FIFO_WE
unit.1.7.port.-1.s.109.orderindex=-1
unit.1.7.port.-1.s.109.visible=1
unit.1.7.port.-1.s.11.alias=
unit.1.7.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.11.name=INIT_RD_ADDR[11]
unit.1.7.port.-1.s.11.orderindex=-1
unit.1.7.port.-1.s.11.visible=1
unit.1.7.port.-1.s.110.alias=
unit.1.7.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.110.name=TX_FIFO_EMPTY
unit.1.7.port.-1.s.110.orderindex=-1
unit.1.7.port.-1.s.110.visible=1
unit.1.7.port.-1.s.111.alias=
unit.1.7.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.111.name=TX_FIFO_FULL
unit.1.7.port.-1.s.111.orderindex=-1
unit.1.7.port.-1.s.111.visible=1
unit.1.7.port.-1.s.112.alias=
unit.1.7.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.112.name=TX_FIFO_PROG_FULL
unit.1.7.port.-1.s.112.orderindex=-1
unit.1.7.port.-1.s.112.visible=1
unit.1.7.port.-1.s.113.alias=
unit.1.7.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.113.name=TX_FIFO_VALID
unit.1.7.port.-1.s.113.orderindex=-1
unit.1.7.port.-1.s.113.visible=1
unit.1.7.port.-1.s.114.alias=
unit.1.7.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.114.name=RX_FIFO_RE
unit.1.7.port.-1.s.114.orderindex=-1
unit.1.7.port.-1.s.114.visible=1
unit.1.7.port.-1.s.115.alias=
unit.1.7.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.115.name=RX_FIFO_WE
unit.1.7.port.-1.s.115.orderindex=-1
unit.1.7.port.-1.s.115.visible=1
unit.1.7.port.-1.s.116.alias=
unit.1.7.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.116.name=RX_FIFO_EMPTY
unit.1.7.port.-1.s.116.orderindex=-1
unit.1.7.port.-1.s.116.visible=1
unit.1.7.port.-1.s.117.alias=
unit.1.7.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.117.name=RX_FIFO_FULL
unit.1.7.port.-1.s.117.orderindex=-1
unit.1.7.port.-1.s.117.visible=1
unit.1.7.port.-1.s.118.alias=
unit.1.7.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.118.name=RX_FIFO_VALID
unit.1.7.port.-1.s.118.orderindex=-1
unit.1.7.port.-1.s.118.visible=1
unit.1.7.port.-1.s.119.alias=
unit.1.7.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.119.name=RX_FIFO_PROG_FULL
unit.1.7.port.-1.s.119.orderindex=-1
unit.1.7.port.-1.s.119.visible=1
unit.1.7.port.-1.s.12.alias=
unit.1.7.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.12.name=INIT_RD_ADDR[12]
unit.1.7.port.-1.s.12.orderindex=-1
unit.1.7.port.-1.s.12.visible=1
unit.1.7.port.-1.s.120.alias=new_cmd_r
unit.1.7.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.120.name=READ_REQ_R
unit.1.7.port.-1.s.120.orderindex=-1
unit.1.7.port.-1.s.120.visible=1
unit.1.7.port.-1.s.121.alias=NPI_ready_for_cmd
unit.1.7.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.121.name=TRIG4[21]
unit.1.7.port.-1.s.121.orderindex=-1
unit.1.7.port.-1.s.121.visible=1
unit.1.7.port.-1.s.122.alias=new_cmd_r2
unit.1.7.port.-1.s.122.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.122.name=TRIG4[22]
unit.1.7.port.-1.s.122.orderindex=-1
unit.1.7.port.-1.s.122.visible=1
unit.1.7.port.-1.s.123.alias=
unit.1.7.port.-1.s.123.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.123.name=TRIG4[23]
unit.1.7.port.-1.s.123.orderindex=-1
unit.1.7.port.-1.s.123.visible=1
unit.1.7.port.-1.s.124.alias=
unit.1.7.port.-1.s.124.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.124.name=RX_FIFO_DOUT[0]
unit.1.7.port.-1.s.124.orderindex=-1
unit.1.7.port.-1.s.124.visible=1
unit.1.7.port.-1.s.125.alias=
unit.1.7.port.-1.s.125.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.125.name=RX_FIFO_DOUT[1]
unit.1.7.port.-1.s.125.orderindex=-1
unit.1.7.port.-1.s.125.visible=1
unit.1.7.port.-1.s.126.alias=
unit.1.7.port.-1.s.126.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.126.name=RX_FIFO_DOUT[2]
unit.1.7.port.-1.s.126.orderindex=-1
unit.1.7.port.-1.s.126.visible=1
unit.1.7.port.-1.s.127.alias=
unit.1.7.port.-1.s.127.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.127.name=RX_FIFO_DOUT[3]
unit.1.7.port.-1.s.127.orderindex=-1
unit.1.7.port.-1.s.127.visible=1
unit.1.7.port.-1.s.128.alias=
unit.1.7.port.-1.s.128.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.128.name=RX_FIFO_DOUT[4]
unit.1.7.port.-1.s.128.orderindex=-1
unit.1.7.port.-1.s.128.visible=1
unit.1.7.port.-1.s.129.alias=
unit.1.7.port.-1.s.129.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.129.name=RX_FIFO_DOUT[5]
unit.1.7.port.-1.s.129.orderindex=-1
unit.1.7.port.-1.s.129.visible=1
unit.1.7.port.-1.s.13.alias=
unit.1.7.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.13.name=INIT_RD_ADDR[13]
unit.1.7.port.-1.s.13.orderindex=-1
unit.1.7.port.-1.s.13.visible=1
unit.1.7.port.-1.s.130.alias=
unit.1.7.port.-1.s.130.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.130.name=RX_FIFO_DOUT[6]
unit.1.7.port.-1.s.130.orderindex=-1
unit.1.7.port.-1.s.130.visible=1
unit.1.7.port.-1.s.131.alias=
unit.1.7.port.-1.s.131.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.131.name=RX_FIFO_DOUT[7]
unit.1.7.port.-1.s.131.orderindex=-1
unit.1.7.port.-1.s.131.visible=1
unit.1.7.port.-1.s.132.alias=
unit.1.7.port.-1.s.132.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.132.name=RX_FIFO_DOUT[8]
unit.1.7.port.-1.s.132.orderindex=-1
unit.1.7.port.-1.s.132.visible=1
unit.1.7.port.-1.s.133.alias=
unit.1.7.port.-1.s.133.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.133.name=RX_FIFO_DOUT[9]
unit.1.7.port.-1.s.133.orderindex=-1
unit.1.7.port.-1.s.133.visible=1
unit.1.7.port.-1.s.134.alias=
unit.1.7.port.-1.s.134.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.134.name=RX_FIFO_DOUT[10]
unit.1.7.port.-1.s.134.orderindex=-1
unit.1.7.port.-1.s.134.visible=1
unit.1.7.port.-1.s.135.alias=
unit.1.7.port.-1.s.135.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.135.name=RX_FIFO_DOUT[11]
unit.1.7.port.-1.s.135.orderindex=-1
unit.1.7.port.-1.s.135.visible=1
unit.1.7.port.-1.s.136.alias=
unit.1.7.port.-1.s.136.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.136.name=RX_FIFO_DOUT[12]
unit.1.7.port.-1.s.136.orderindex=-1
unit.1.7.port.-1.s.136.visible=1
unit.1.7.port.-1.s.137.alias=
unit.1.7.port.-1.s.137.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.137.name=RX_FIFO_DOUT[13]
unit.1.7.port.-1.s.137.orderindex=-1
unit.1.7.port.-1.s.137.visible=1
unit.1.7.port.-1.s.138.alias=
unit.1.7.port.-1.s.138.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.138.name=RX_FIFO_DOUT[14]
unit.1.7.port.-1.s.138.orderindex=-1
unit.1.7.port.-1.s.138.visible=1
unit.1.7.port.-1.s.139.alias=
unit.1.7.port.-1.s.139.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.139.name=RX_FIFO_DOUT[15]
unit.1.7.port.-1.s.139.orderindex=-1
unit.1.7.port.-1.s.139.visible=1
unit.1.7.port.-1.s.14.alias=
unit.1.7.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.14.name=INIT_RD_ADDR[14]
unit.1.7.port.-1.s.14.orderindex=-1
unit.1.7.port.-1.s.14.visible=1
unit.1.7.port.-1.s.140.alias=
unit.1.7.port.-1.s.140.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.140.name=RX_FIFO_DOUT[16]
unit.1.7.port.-1.s.140.orderindex=-1
unit.1.7.port.-1.s.140.visible=1
unit.1.7.port.-1.s.141.alias=
unit.1.7.port.-1.s.141.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.141.name=RX_FIFO_DOUT[17]
unit.1.7.port.-1.s.141.orderindex=-1
unit.1.7.port.-1.s.141.visible=1
unit.1.7.port.-1.s.142.alias=
unit.1.7.port.-1.s.142.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.142.name=RX_FIFO_DOUT[18]
unit.1.7.port.-1.s.142.orderindex=-1
unit.1.7.port.-1.s.142.visible=1
unit.1.7.port.-1.s.143.alias=
unit.1.7.port.-1.s.143.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.143.name=RX_FIFO_DOUT[19]
unit.1.7.port.-1.s.143.orderindex=-1
unit.1.7.port.-1.s.143.visible=1
unit.1.7.port.-1.s.144.alias=
unit.1.7.port.-1.s.144.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.144.name=RX_FIFO_DOUT[20]
unit.1.7.port.-1.s.144.orderindex=-1
unit.1.7.port.-1.s.144.visible=1
unit.1.7.port.-1.s.145.alias=
unit.1.7.port.-1.s.145.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.145.name=RX_FIFO_DOUT[21]
unit.1.7.port.-1.s.145.orderindex=-1
unit.1.7.port.-1.s.145.visible=1
unit.1.7.port.-1.s.146.alias=
unit.1.7.port.-1.s.146.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.146.name=RX_FIFO_DOUT[22]
unit.1.7.port.-1.s.146.orderindex=-1
unit.1.7.port.-1.s.146.visible=1
unit.1.7.port.-1.s.147.alias=
unit.1.7.port.-1.s.147.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.147.name=RX_FIFO_DOUT[23]
unit.1.7.port.-1.s.147.orderindex=-1
unit.1.7.port.-1.s.147.visible=1
unit.1.7.port.-1.s.148.alias=
unit.1.7.port.-1.s.148.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.148.name=RX_FIFO_DOUT[24]
unit.1.7.port.-1.s.148.orderindex=-1
unit.1.7.port.-1.s.148.visible=1
unit.1.7.port.-1.s.149.alias=
unit.1.7.port.-1.s.149.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.149.name=RX_FIFO_DOUT[25]
unit.1.7.port.-1.s.149.orderindex=-1
unit.1.7.port.-1.s.149.visible=1
unit.1.7.port.-1.s.15.alias=
unit.1.7.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.15.name=INIT_RD_ADDR[15]
unit.1.7.port.-1.s.15.orderindex=-1
unit.1.7.port.-1.s.15.visible=1
unit.1.7.port.-1.s.150.alias=
unit.1.7.port.-1.s.150.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.150.name=RX_FIFO_DOUT[26]
unit.1.7.port.-1.s.150.orderindex=-1
unit.1.7.port.-1.s.150.visible=1
unit.1.7.port.-1.s.151.alias=
unit.1.7.port.-1.s.151.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.151.name=RX_FIFO_DOUT[27]
unit.1.7.port.-1.s.151.orderindex=-1
unit.1.7.port.-1.s.151.visible=1
unit.1.7.port.-1.s.152.alias=
unit.1.7.port.-1.s.152.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.152.name=RX_FIFO_DOUT[28]
unit.1.7.port.-1.s.152.orderindex=-1
unit.1.7.port.-1.s.152.visible=1
unit.1.7.port.-1.s.153.alias=
unit.1.7.port.-1.s.153.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.153.name=RX_FIFO_DOUT[29]
unit.1.7.port.-1.s.153.orderindex=-1
unit.1.7.port.-1.s.153.visible=1
unit.1.7.port.-1.s.154.alias=
unit.1.7.port.-1.s.154.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.154.name=RX_FIFO_DOUT[30]
unit.1.7.port.-1.s.154.orderindex=-1
unit.1.7.port.-1.s.154.visible=1
unit.1.7.port.-1.s.155.alias=
unit.1.7.port.-1.s.155.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.155.name=RX_FIFO_DOUT[31]
unit.1.7.port.-1.s.155.orderindex=-1
unit.1.7.port.-1.s.155.visible=1
unit.1.7.port.-1.s.156.alias=
unit.1.7.port.-1.s.156.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.156.name=RX_FIFO_DOUT[32]
unit.1.7.port.-1.s.156.orderindex=-1
unit.1.7.port.-1.s.156.visible=1
unit.1.7.port.-1.s.157.alias=
unit.1.7.port.-1.s.157.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.157.name=RX_FIFO_DOUT[33]
unit.1.7.port.-1.s.157.orderindex=-1
unit.1.7.port.-1.s.157.visible=1
unit.1.7.port.-1.s.158.alias=
unit.1.7.port.-1.s.158.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.158.name=RX_FIFO_DOUT[34]
unit.1.7.port.-1.s.158.orderindex=-1
unit.1.7.port.-1.s.158.visible=1
unit.1.7.port.-1.s.159.alias=
unit.1.7.port.-1.s.159.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.159.name=RX_FIFO_DOUT[35]
unit.1.7.port.-1.s.159.orderindex=-1
unit.1.7.port.-1.s.159.visible=1
unit.1.7.port.-1.s.16.alias=
unit.1.7.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.16.name=INIT_RD_ADDR[16]
unit.1.7.port.-1.s.16.orderindex=-1
unit.1.7.port.-1.s.16.visible=1
unit.1.7.port.-1.s.160.alias=
unit.1.7.port.-1.s.160.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.160.name=RX_FIFO_DOUT[36]
unit.1.7.port.-1.s.160.orderindex=-1
unit.1.7.port.-1.s.160.visible=1
unit.1.7.port.-1.s.161.alias=
unit.1.7.port.-1.s.161.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.161.name=RX_FIFO_DOUT[37]
unit.1.7.port.-1.s.161.orderindex=-1
unit.1.7.port.-1.s.161.visible=1
unit.1.7.port.-1.s.162.alias=
unit.1.7.port.-1.s.162.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.162.name=RX_FIFO_DOUT[38]
unit.1.7.port.-1.s.162.orderindex=-1
unit.1.7.port.-1.s.162.visible=1
unit.1.7.port.-1.s.163.alias=
unit.1.7.port.-1.s.163.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.163.name=RX_FIFO_DOUT[39]
unit.1.7.port.-1.s.163.orderindex=-1
unit.1.7.port.-1.s.163.visible=1
unit.1.7.port.-1.s.164.alias=
unit.1.7.port.-1.s.164.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.164.name=RX_FIFO_DOUT[40]
unit.1.7.port.-1.s.164.orderindex=-1
unit.1.7.port.-1.s.164.visible=1
unit.1.7.port.-1.s.165.alias=
unit.1.7.port.-1.s.165.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.165.name=RX_FIFO_DOUT[41]
unit.1.7.port.-1.s.165.orderindex=-1
unit.1.7.port.-1.s.165.visible=1
unit.1.7.port.-1.s.166.alias=
unit.1.7.port.-1.s.166.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.166.name=RX_FIFO_DOUT[42]
unit.1.7.port.-1.s.166.orderindex=-1
unit.1.7.port.-1.s.166.visible=1
unit.1.7.port.-1.s.167.alias=
unit.1.7.port.-1.s.167.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.167.name=RX_FIFO_DOUT[43]
unit.1.7.port.-1.s.167.orderindex=-1
unit.1.7.port.-1.s.167.visible=1
unit.1.7.port.-1.s.168.alias=
unit.1.7.port.-1.s.168.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.168.name=RX_FIFO_DOUT[44]
unit.1.7.port.-1.s.168.orderindex=-1
unit.1.7.port.-1.s.168.visible=1
unit.1.7.port.-1.s.169.alias=
unit.1.7.port.-1.s.169.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.169.name=RX_FIFO_DOUT[45]
unit.1.7.port.-1.s.169.orderindex=-1
unit.1.7.port.-1.s.169.visible=1
unit.1.7.port.-1.s.17.alias=
unit.1.7.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.17.name=INIT_RD_ADDR[17]
unit.1.7.port.-1.s.17.orderindex=-1
unit.1.7.port.-1.s.17.visible=1
unit.1.7.port.-1.s.170.alias=
unit.1.7.port.-1.s.170.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.170.name=RX_FIFO_DOUT[46]
unit.1.7.port.-1.s.170.orderindex=-1
unit.1.7.port.-1.s.170.visible=1
unit.1.7.port.-1.s.171.alias=
unit.1.7.port.-1.s.171.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.171.name=RX_FIFO_DOUT[47]
unit.1.7.port.-1.s.171.orderindex=-1
unit.1.7.port.-1.s.171.visible=1
unit.1.7.port.-1.s.172.alias=
unit.1.7.port.-1.s.172.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.172.name=RX_FIFO_DOUT[48]
unit.1.7.port.-1.s.172.orderindex=-1
unit.1.7.port.-1.s.172.visible=1
unit.1.7.port.-1.s.173.alias=
unit.1.7.port.-1.s.173.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.173.name=RX_FIFO_DOUT[49]
unit.1.7.port.-1.s.173.orderindex=-1
unit.1.7.port.-1.s.173.visible=1
unit.1.7.port.-1.s.174.alias=
unit.1.7.port.-1.s.174.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.174.name=RX_FIFO_DOUT[50]
unit.1.7.port.-1.s.174.orderindex=-1
unit.1.7.port.-1.s.174.visible=1
unit.1.7.port.-1.s.175.alias=
unit.1.7.port.-1.s.175.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.175.name=RX_FIFO_DOUT[51]
unit.1.7.port.-1.s.175.orderindex=-1
unit.1.7.port.-1.s.175.visible=1
unit.1.7.port.-1.s.176.alias=
unit.1.7.port.-1.s.176.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.176.name=RX_FIFO_DOUT[52]
unit.1.7.port.-1.s.176.orderindex=-1
unit.1.7.port.-1.s.176.visible=1
unit.1.7.port.-1.s.177.alias=
unit.1.7.port.-1.s.177.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.177.name=RX_FIFO_DOUT[53]
unit.1.7.port.-1.s.177.orderindex=-1
unit.1.7.port.-1.s.177.visible=1
unit.1.7.port.-1.s.178.alias=
unit.1.7.port.-1.s.178.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.178.name=RX_FIFO_DOUT[54]
unit.1.7.port.-1.s.178.orderindex=-1
unit.1.7.port.-1.s.178.visible=1
unit.1.7.port.-1.s.179.alias=
unit.1.7.port.-1.s.179.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.179.name=RX_FIFO_DOUT[55]
unit.1.7.port.-1.s.179.orderindex=-1
unit.1.7.port.-1.s.179.visible=1
unit.1.7.port.-1.s.18.alias=
unit.1.7.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.18.name=INIT_RD_ADDR[18]
unit.1.7.port.-1.s.18.orderindex=-1
unit.1.7.port.-1.s.18.visible=1
unit.1.7.port.-1.s.180.alias=
unit.1.7.port.-1.s.180.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.180.name=RX_FIFO_DOUT[56]
unit.1.7.port.-1.s.180.orderindex=-1
unit.1.7.port.-1.s.180.visible=1
unit.1.7.port.-1.s.181.alias=
unit.1.7.port.-1.s.181.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.181.name=RX_FIFO_DOUT[57]
unit.1.7.port.-1.s.181.orderindex=-1
unit.1.7.port.-1.s.181.visible=1
unit.1.7.port.-1.s.182.alias=
unit.1.7.port.-1.s.182.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.182.name=RX_FIFO_DOUT[58]
unit.1.7.port.-1.s.182.orderindex=-1
unit.1.7.port.-1.s.182.visible=1
unit.1.7.port.-1.s.183.alias=
unit.1.7.port.-1.s.183.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.183.name=RX_FIFO_DOUT[59]
unit.1.7.port.-1.s.183.orderindex=-1
unit.1.7.port.-1.s.183.visible=1
unit.1.7.port.-1.s.184.alias=
unit.1.7.port.-1.s.184.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.184.name=RX_FIFO_DOUT[60]
unit.1.7.port.-1.s.184.orderindex=-1
unit.1.7.port.-1.s.184.visible=1
unit.1.7.port.-1.s.185.alias=
unit.1.7.port.-1.s.185.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.185.name=RX_FIFO_DOUT[61]
unit.1.7.port.-1.s.185.orderindex=-1
unit.1.7.port.-1.s.185.visible=1
unit.1.7.port.-1.s.186.alias=
unit.1.7.port.-1.s.186.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.186.name=RX_FIFO_DOUT[62]
unit.1.7.port.-1.s.186.orderindex=-1
unit.1.7.port.-1.s.186.visible=1
unit.1.7.port.-1.s.187.alias=
unit.1.7.port.-1.s.187.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.187.name=RX_FIFO_DOUT[63]
unit.1.7.port.-1.s.187.orderindex=-1
unit.1.7.port.-1.s.187.visible=1
unit.1.7.port.-1.s.188.alias=
unit.1.7.port.-1.s.188.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.188.name=TX_FIFO_DIN[0]
unit.1.7.port.-1.s.188.orderindex=-1
unit.1.7.port.-1.s.188.visible=1
unit.1.7.port.-1.s.189.alias=
unit.1.7.port.-1.s.189.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.189.name=TX_FIFO_DIN[1]
unit.1.7.port.-1.s.189.orderindex=-1
unit.1.7.port.-1.s.189.visible=1
unit.1.7.port.-1.s.19.alias=
unit.1.7.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.19.name=INIT_RD_ADDR[19]
unit.1.7.port.-1.s.19.orderindex=-1
unit.1.7.port.-1.s.19.visible=1
unit.1.7.port.-1.s.190.alias=
unit.1.7.port.-1.s.190.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.190.name=TX_FIFO_DIN[2]
unit.1.7.port.-1.s.190.orderindex=-1
unit.1.7.port.-1.s.190.visible=1
unit.1.7.port.-1.s.191.alias=
unit.1.7.port.-1.s.191.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.191.name=TX_FIFO_DIN[3]
unit.1.7.port.-1.s.191.orderindex=-1
unit.1.7.port.-1.s.191.visible=1
unit.1.7.port.-1.s.192.alias=
unit.1.7.port.-1.s.192.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.192.name=TX_FIFO_DIN[4]
unit.1.7.port.-1.s.192.orderindex=-1
unit.1.7.port.-1.s.192.visible=1
unit.1.7.port.-1.s.193.alias=
unit.1.7.port.-1.s.193.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.193.name=TX_FIFO_DIN[5]
unit.1.7.port.-1.s.193.orderindex=-1
unit.1.7.port.-1.s.193.visible=1
unit.1.7.port.-1.s.194.alias=
unit.1.7.port.-1.s.194.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.194.name=TX_FIFO_DIN[6]
unit.1.7.port.-1.s.194.orderindex=-1
unit.1.7.port.-1.s.194.visible=1
unit.1.7.port.-1.s.195.alias=
unit.1.7.port.-1.s.195.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.195.name=TX_FIFO_DIN[7]
unit.1.7.port.-1.s.195.orderindex=-1
unit.1.7.port.-1.s.195.visible=1
unit.1.7.port.-1.s.196.alias=
unit.1.7.port.-1.s.196.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.196.name=TX_FIFO_DIN[8]
unit.1.7.port.-1.s.196.orderindex=-1
unit.1.7.port.-1.s.196.visible=1
unit.1.7.port.-1.s.197.alias=
unit.1.7.port.-1.s.197.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.197.name=TX_FIFO_DIN[9]
unit.1.7.port.-1.s.197.orderindex=-1
unit.1.7.port.-1.s.197.visible=1
unit.1.7.port.-1.s.198.alias=
unit.1.7.port.-1.s.198.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.198.name=TX_FIFO_DIN[10]
unit.1.7.port.-1.s.198.orderindex=-1
unit.1.7.port.-1.s.198.visible=1
unit.1.7.port.-1.s.199.alias=
unit.1.7.port.-1.s.199.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.199.name=TX_FIFO_DIN[11]
unit.1.7.port.-1.s.199.orderindex=-1
unit.1.7.port.-1.s.199.visible=1
unit.1.7.port.-1.s.2.alias=
unit.1.7.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.2.name=INIT_RD_ADDR[2]
unit.1.7.port.-1.s.2.orderindex=-1
unit.1.7.port.-1.s.2.visible=1
unit.1.7.port.-1.s.20.alias=
unit.1.7.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.20.name=INIT_RD_ADDR[20]
unit.1.7.port.-1.s.20.orderindex=-1
unit.1.7.port.-1.s.20.visible=1
unit.1.7.port.-1.s.200.alias=
unit.1.7.port.-1.s.200.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.200.name=TX_FIFO_DIN[12]
unit.1.7.port.-1.s.200.orderindex=-1
unit.1.7.port.-1.s.200.visible=1
unit.1.7.port.-1.s.201.alias=
unit.1.7.port.-1.s.201.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.201.name=TX_FIFO_DIN[13]
unit.1.7.port.-1.s.201.orderindex=-1
unit.1.7.port.-1.s.201.visible=1
unit.1.7.port.-1.s.202.alias=
unit.1.7.port.-1.s.202.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.202.name=TX_FIFO_DIN[14]
unit.1.7.port.-1.s.202.orderindex=-1
unit.1.7.port.-1.s.202.visible=1
unit.1.7.port.-1.s.203.alias=
unit.1.7.port.-1.s.203.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.203.name=TX_FIFO_DIN[15]
unit.1.7.port.-1.s.203.orderindex=-1
unit.1.7.port.-1.s.203.visible=1
unit.1.7.port.-1.s.204.alias=
unit.1.7.port.-1.s.204.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.204.name=TX_FIFO_DIN[16]
unit.1.7.port.-1.s.204.orderindex=-1
unit.1.7.port.-1.s.204.visible=1
unit.1.7.port.-1.s.205.alias=
unit.1.7.port.-1.s.205.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.205.name=TX_FIFO_DIN[17]
unit.1.7.port.-1.s.205.orderindex=-1
unit.1.7.port.-1.s.205.visible=1
unit.1.7.port.-1.s.206.alias=
unit.1.7.port.-1.s.206.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.206.name=TX_FIFO_DIN[18]
unit.1.7.port.-1.s.206.orderindex=-1
unit.1.7.port.-1.s.206.visible=1
unit.1.7.port.-1.s.207.alias=
unit.1.7.port.-1.s.207.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.207.name=TX_FIFO_DIN[19]
unit.1.7.port.-1.s.207.orderindex=-1
unit.1.7.port.-1.s.207.visible=1
unit.1.7.port.-1.s.208.alias=
unit.1.7.port.-1.s.208.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.208.name=TX_FIFO_DIN[20]
unit.1.7.port.-1.s.208.orderindex=-1
unit.1.7.port.-1.s.208.visible=1
unit.1.7.port.-1.s.209.alias=
unit.1.7.port.-1.s.209.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.209.name=TX_FIFO_DIN[21]
unit.1.7.port.-1.s.209.orderindex=-1
unit.1.7.port.-1.s.209.visible=1
unit.1.7.port.-1.s.21.alias=
unit.1.7.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.21.name=INIT_RD_ADDR[21]
unit.1.7.port.-1.s.21.orderindex=-1
unit.1.7.port.-1.s.21.visible=1
unit.1.7.port.-1.s.210.alias=
unit.1.7.port.-1.s.210.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.210.name=TX_FIFO_DIN[22]
unit.1.7.port.-1.s.210.orderindex=-1
unit.1.7.port.-1.s.210.visible=1
unit.1.7.port.-1.s.211.alias=
unit.1.7.port.-1.s.211.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.211.name=TX_FIFO_DIN[23]
unit.1.7.port.-1.s.211.orderindex=-1
unit.1.7.port.-1.s.211.visible=1
unit.1.7.port.-1.s.212.alias=
unit.1.7.port.-1.s.212.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.212.name=TX_FIFO_DIN[24]
unit.1.7.port.-1.s.212.orderindex=-1
unit.1.7.port.-1.s.212.visible=1
unit.1.7.port.-1.s.213.alias=
unit.1.7.port.-1.s.213.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.213.name=TX_FIFO_DIN[25]
unit.1.7.port.-1.s.213.orderindex=-1
unit.1.7.port.-1.s.213.visible=1
unit.1.7.port.-1.s.214.alias=
unit.1.7.port.-1.s.214.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.214.name=TX_FIFO_DIN[26]
unit.1.7.port.-1.s.214.orderindex=-1
unit.1.7.port.-1.s.214.visible=1
unit.1.7.port.-1.s.215.alias=
unit.1.7.port.-1.s.215.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.215.name=TX_FIFO_DIN[27]
unit.1.7.port.-1.s.215.orderindex=-1
unit.1.7.port.-1.s.215.visible=1
unit.1.7.port.-1.s.216.alias=
unit.1.7.port.-1.s.216.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.216.name=TX_FIFO_DIN[28]
unit.1.7.port.-1.s.216.orderindex=-1
unit.1.7.port.-1.s.216.visible=1
unit.1.7.port.-1.s.217.alias=
unit.1.7.port.-1.s.217.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.217.name=TX_FIFO_DIN[29]
unit.1.7.port.-1.s.217.orderindex=-1
unit.1.7.port.-1.s.217.visible=1
unit.1.7.port.-1.s.218.alias=
unit.1.7.port.-1.s.218.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.218.name=TX_FIFO_DIN[30]
unit.1.7.port.-1.s.218.orderindex=-1
unit.1.7.port.-1.s.218.visible=1
unit.1.7.port.-1.s.219.alias=
unit.1.7.port.-1.s.219.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.219.name=TX_FIFO_DIN[31]
unit.1.7.port.-1.s.219.orderindex=-1
unit.1.7.port.-1.s.219.visible=1
unit.1.7.port.-1.s.22.alias=
unit.1.7.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.22.name=INIT_RD_ADDR[22]
unit.1.7.port.-1.s.22.orderindex=-1
unit.1.7.port.-1.s.22.visible=1
unit.1.7.port.-1.s.220.alias=
unit.1.7.port.-1.s.220.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.220.name=TX_FIFO_DIN[32]
unit.1.7.port.-1.s.220.orderindex=-1
unit.1.7.port.-1.s.220.visible=1
unit.1.7.port.-1.s.221.alias=
unit.1.7.port.-1.s.221.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.221.name=TX_FIFO_DIN[33]
unit.1.7.port.-1.s.221.orderindex=-1
unit.1.7.port.-1.s.221.visible=1
unit.1.7.port.-1.s.222.alias=
unit.1.7.port.-1.s.222.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.222.name=TX_FIFO_DIN[34]
unit.1.7.port.-1.s.222.orderindex=-1
unit.1.7.port.-1.s.222.visible=1
unit.1.7.port.-1.s.223.alias=
unit.1.7.port.-1.s.223.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.223.name=TX_FIFO_DIN[35]
unit.1.7.port.-1.s.223.orderindex=-1
unit.1.7.port.-1.s.223.visible=1
unit.1.7.port.-1.s.224.alias=
unit.1.7.port.-1.s.224.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.224.name=TX_FIFO_DIN[36]
unit.1.7.port.-1.s.224.orderindex=-1
unit.1.7.port.-1.s.224.visible=1
unit.1.7.port.-1.s.225.alias=
unit.1.7.port.-1.s.225.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.225.name=TX_FIFO_DIN[37]
unit.1.7.port.-1.s.225.orderindex=-1
unit.1.7.port.-1.s.225.visible=1
unit.1.7.port.-1.s.226.alias=
unit.1.7.port.-1.s.226.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.226.name=TX_FIFO_DIN[38]
unit.1.7.port.-1.s.226.orderindex=-1
unit.1.7.port.-1.s.226.visible=1
unit.1.7.port.-1.s.227.alias=
unit.1.7.port.-1.s.227.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.227.name=TX_FIFO_DIN[39]
unit.1.7.port.-1.s.227.orderindex=-1
unit.1.7.port.-1.s.227.visible=1
unit.1.7.port.-1.s.228.alias=
unit.1.7.port.-1.s.228.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.228.name=TX_FIFO_DIN[40]
unit.1.7.port.-1.s.228.orderindex=-1
unit.1.7.port.-1.s.228.visible=1
unit.1.7.port.-1.s.229.alias=
unit.1.7.port.-1.s.229.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.229.name=TX_FIFO_DIN[41]
unit.1.7.port.-1.s.229.orderindex=-1
unit.1.7.port.-1.s.229.visible=1
unit.1.7.port.-1.s.23.alias=
unit.1.7.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.23.name=INIT_RD_ADDR[23]
unit.1.7.port.-1.s.23.orderindex=-1
unit.1.7.port.-1.s.23.visible=1
unit.1.7.port.-1.s.230.alias=
unit.1.7.port.-1.s.230.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.230.name=TX_FIFO_DIN[42]
unit.1.7.port.-1.s.230.orderindex=-1
unit.1.7.port.-1.s.230.visible=1
unit.1.7.port.-1.s.231.alias=
unit.1.7.port.-1.s.231.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.231.name=TX_FIFO_DIN[43]
unit.1.7.port.-1.s.231.orderindex=-1
unit.1.7.port.-1.s.231.visible=1
unit.1.7.port.-1.s.232.alias=
unit.1.7.port.-1.s.232.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.232.name=TX_FIFO_DIN[44]
unit.1.7.port.-1.s.232.orderindex=-1
unit.1.7.port.-1.s.232.visible=1
unit.1.7.port.-1.s.233.alias=
unit.1.7.port.-1.s.233.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.233.name=TX_FIFO_DIN[45]
unit.1.7.port.-1.s.233.orderindex=-1
unit.1.7.port.-1.s.233.visible=1
unit.1.7.port.-1.s.234.alias=
unit.1.7.port.-1.s.234.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.234.name=TX_FIFO_DIN[46]
unit.1.7.port.-1.s.234.orderindex=-1
unit.1.7.port.-1.s.234.visible=1
unit.1.7.port.-1.s.235.alias=
unit.1.7.port.-1.s.235.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.235.name=TX_FIFO_DIN[47]
unit.1.7.port.-1.s.235.orderindex=-1
unit.1.7.port.-1.s.235.visible=1
unit.1.7.port.-1.s.236.alias=
unit.1.7.port.-1.s.236.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.236.name=TX_FIFO_DIN[48]
unit.1.7.port.-1.s.236.orderindex=-1
unit.1.7.port.-1.s.236.visible=1
unit.1.7.port.-1.s.237.alias=
unit.1.7.port.-1.s.237.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.237.name=TX_FIFO_DIN[49]
unit.1.7.port.-1.s.237.orderindex=-1
unit.1.7.port.-1.s.237.visible=1
unit.1.7.port.-1.s.238.alias=
unit.1.7.port.-1.s.238.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.238.name=TX_FIFO_DIN[50]
unit.1.7.port.-1.s.238.orderindex=-1
unit.1.7.port.-1.s.238.visible=1
unit.1.7.port.-1.s.239.alias=
unit.1.7.port.-1.s.239.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.239.name=TX_FIFO_DIN[51]
unit.1.7.port.-1.s.239.orderindex=-1
unit.1.7.port.-1.s.239.visible=1
unit.1.7.port.-1.s.24.alias=
unit.1.7.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.24.name=INIT_RD_ADDR[24]
unit.1.7.port.-1.s.24.orderindex=-1
unit.1.7.port.-1.s.24.visible=1
unit.1.7.port.-1.s.240.alias=
unit.1.7.port.-1.s.240.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.240.name=TX_FIFO_DIN[52]
unit.1.7.port.-1.s.240.orderindex=-1
unit.1.7.port.-1.s.240.visible=1
unit.1.7.port.-1.s.241.alias=
unit.1.7.port.-1.s.241.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.241.name=TX_FIFO_DIN[53]
unit.1.7.port.-1.s.241.orderindex=-1
unit.1.7.port.-1.s.241.visible=1
unit.1.7.port.-1.s.242.alias=
unit.1.7.port.-1.s.242.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.242.name=TX_FIFO_DIN[54]
unit.1.7.port.-1.s.242.orderindex=-1
unit.1.7.port.-1.s.242.visible=1
unit.1.7.port.-1.s.243.alias=
unit.1.7.port.-1.s.243.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.243.name=TX_FIFO_DIN[55]
unit.1.7.port.-1.s.243.orderindex=-1
unit.1.7.port.-1.s.243.visible=1
unit.1.7.port.-1.s.244.alias=
unit.1.7.port.-1.s.244.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.244.name=TX_FIFO_DIN[56]
unit.1.7.port.-1.s.244.orderindex=-1
unit.1.7.port.-1.s.244.visible=1
unit.1.7.port.-1.s.245.alias=
unit.1.7.port.-1.s.245.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.245.name=TX_FIFO_DIN[57]
unit.1.7.port.-1.s.245.orderindex=-1
unit.1.7.port.-1.s.245.visible=1
unit.1.7.port.-1.s.246.alias=
unit.1.7.port.-1.s.246.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.246.name=TX_FIFO_DIN[58]
unit.1.7.port.-1.s.246.orderindex=-1
unit.1.7.port.-1.s.246.visible=1
unit.1.7.port.-1.s.247.alias=
unit.1.7.port.-1.s.247.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.247.name=TX_FIFO_DIN[59]
unit.1.7.port.-1.s.247.orderindex=-1
unit.1.7.port.-1.s.247.visible=1
unit.1.7.port.-1.s.248.alias=
unit.1.7.port.-1.s.248.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.248.name=TX_FIFO_DIN[60]
unit.1.7.port.-1.s.248.orderindex=-1
unit.1.7.port.-1.s.248.visible=1
unit.1.7.port.-1.s.249.alias=
unit.1.7.port.-1.s.249.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.249.name=TX_FIFO_DIN[61]
unit.1.7.port.-1.s.249.orderindex=-1
unit.1.7.port.-1.s.249.visible=1
unit.1.7.port.-1.s.25.alias=
unit.1.7.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.25.name=INIT_RD_ADDR[25]
unit.1.7.port.-1.s.25.orderindex=-1
unit.1.7.port.-1.s.25.visible=1
unit.1.7.port.-1.s.250.alias=
unit.1.7.port.-1.s.250.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.250.name=TX_FIFO_DIN[62]
unit.1.7.port.-1.s.250.orderindex=-1
unit.1.7.port.-1.s.250.visible=1
unit.1.7.port.-1.s.251.alias=
unit.1.7.port.-1.s.251.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.251.name=TX_FIFO_DIN[63]
unit.1.7.port.-1.s.251.orderindex=-1
unit.1.7.port.-1.s.251.visible=1
unit.1.7.port.-1.s.252.alias=
unit.1.7.port.-1.s.252.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.252.name=NUM_RD_BYTES[0]
unit.1.7.port.-1.s.252.orderindex=-1
unit.1.7.port.-1.s.252.visible=1
unit.1.7.port.-1.s.253.alias=
unit.1.7.port.-1.s.253.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.253.name=NUM_RD_BYTES[1]
unit.1.7.port.-1.s.253.orderindex=-1
unit.1.7.port.-1.s.253.visible=1
unit.1.7.port.-1.s.254.alias=
unit.1.7.port.-1.s.254.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.254.name=NUM_RD_BYTES[2]
unit.1.7.port.-1.s.254.orderindex=-1
unit.1.7.port.-1.s.254.visible=1
unit.1.7.port.-1.s.255.alias=
unit.1.7.port.-1.s.255.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.255.name=NUM_RD_BYTES[3]
unit.1.7.port.-1.s.255.orderindex=-1
unit.1.7.port.-1.s.255.visible=1
unit.1.7.port.-1.s.256.alias=
unit.1.7.port.-1.s.256.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.256.name=NUM_RD_BYTES[4]
unit.1.7.port.-1.s.256.orderindex=-1
unit.1.7.port.-1.s.256.visible=1
unit.1.7.port.-1.s.257.alias=
unit.1.7.port.-1.s.257.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.257.name=NUM_RD_BYTES[5]
unit.1.7.port.-1.s.257.orderindex=-1
unit.1.7.port.-1.s.257.visible=1
unit.1.7.port.-1.s.258.alias=
unit.1.7.port.-1.s.258.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.258.name=NUM_RD_BYTES[6]
unit.1.7.port.-1.s.258.orderindex=-1
unit.1.7.port.-1.s.258.visible=1
unit.1.7.port.-1.s.259.alias=
unit.1.7.port.-1.s.259.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.259.name=NUM_RD_BYTES[7]
unit.1.7.port.-1.s.259.orderindex=-1
unit.1.7.port.-1.s.259.visible=1
unit.1.7.port.-1.s.26.alias=
unit.1.7.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.26.name=INIT_RD_ADDR[26]
unit.1.7.port.-1.s.26.orderindex=-1
unit.1.7.port.-1.s.26.visible=1
unit.1.7.port.-1.s.260.alias=
unit.1.7.port.-1.s.260.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.260.name=NUM_RD_BYTES[8]
unit.1.7.port.-1.s.260.orderindex=-1
unit.1.7.port.-1.s.260.visible=1
unit.1.7.port.-1.s.261.alias=
unit.1.7.port.-1.s.261.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.261.name=NUM_RD_BYTES[9]
unit.1.7.port.-1.s.261.orderindex=-1
unit.1.7.port.-1.s.261.visible=1
unit.1.7.port.-1.s.262.alias=
unit.1.7.port.-1.s.262.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.262.name=NUM_RD_BYTES[10]
unit.1.7.port.-1.s.262.orderindex=-1
unit.1.7.port.-1.s.262.visible=1
unit.1.7.port.-1.s.263.alias=
unit.1.7.port.-1.s.263.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.263.name=NUM_RD_BYTES[11]
unit.1.7.port.-1.s.263.orderindex=-1
unit.1.7.port.-1.s.263.visible=1
unit.1.7.port.-1.s.264.alias=
unit.1.7.port.-1.s.264.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.264.name=NUM_RD_BYTES[12]
unit.1.7.port.-1.s.264.orderindex=-1
unit.1.7.port.-1.s.264.visible=1
unit.1.7.port.-1.s.265.alias=
unit.1.7.port.-1.s.265.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.265.name=NUM_RD_BYTES[13]
unit.1.7.port.-1.s.265.orderindex=-1
unit.1.7.port.-1.s.265.visible=1
unit.1.7.port.-1.s.266.alias=
unit.1.7.port.-1.s.266.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.266.name=NUM_RD_BYTES[14]
unit.1.7.port.-1.s.266.orderindex=-1
unit.1.7.port.-1.s.266.visible=1
unit.1.7.port.-1.s.267.alias=
unit.1.7.port.-1.s.267.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.267.name=NUM_RD_BYTES[15]
unit.1.7.port.-1.s.267.orderindex=-1
unit.1.7.port.-1.s.267.visible=1
unit.1.7.port.-1.s.268.alias=
unit.1.7.port.-1.s.268.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.268.name=NUM_RD_BYTES[16]
unit.1.7.port.-1.s.268.orderindex=-1
unit.1.7.port.-1.s.268.visible=1
unit.1.7.port.-1.s.269.alias=
unit.1.7.port.-1.s.269.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.269.name=NUM_RD_BYTES[17]
unit.1.7.port.-1.s.269.orderindex=-1
unit.1.7.port.-1.s.269.visible=1
unit.1.7.port.-1.s.27.alias=
unit.1.7.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.27.name=INIT_RD_ADDR[27]
unit.1.7.port.-1.s.27.orderindex=-1
unit.1.7.port.-1.s.27.visible=1
unit.1.7.port.-1.s.270.alias=
unit.1.7.port.-1.s.270.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.270.name=NUM_RD_BYTES[18]
unit.1.7.port.-1.s.270.orderindex=-1
unit.1.7.port.-1.s.270.visible=1
unit.1.7.port.-1.s.271.alias=
unit.1.7.port.-1.s.271.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.271.name=NUM_RD_BYTES[19]
unit.1.7.port.-1.s.271.orderindex=-1
unit.1.7.port.-1.s.271.visible=1
unit.1.7.port.-1.s.272.alias=
unit.1.7.port.-1.s.272.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.272.name=NUM_RD_BYTES[20]
unit.1.7.port.-1.s.272.orderindex=-1
unit.1.7.port.-1.s.272.visible=1
unit.1.7.port.-1.s.273.alias=
unit.1.7.port.-1.s.273.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.273.name=NUM_RD_BYTES[21]
unit.1.7.port.-1.s.273.orderindex=-1
unit.1.7.port.-1.s.273.visible=1
unit.1.7.port.-1.s.274.alias=
unit.1.7.port.-1.s.274.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.274.name=NUM_RD_BYTES[22]
unit.1.7.port.-1.s.274.orderindex=-1
unit.1.7.port.-1.s.274.visible=1
unit.1.7.port.-1.s.275.alias=
unit.1.7.port.-1.s.275.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.275.name=NUM_RD_BYTES[23]
unit.1.7.port.-1.s.275.orderindex=-1
unit.1.7.port.-1.s.275.visible=1
unit.1.7.port.-1.s.276.alias=
unit.1.7.port.-1.s.276.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.276.name=NUM_RD_BYTES[24]
unit.1.7.port.-1.s.276.orderindex=-1
unit.1.7.port.-1.s.276.visible=1
unit.1.7.port.-1.s.277.alias=
unit.1.7.port.-1.s.277.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.277.name=NUM_RD_BYTES[25]
unit.1.7.port.-1.s.277.orderindex=-1
unit.1.7.port.-1.s.277.visible=1
unit.1.7.port.-1.s.278.alias=
unit.1.7.port.-1.s.278.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.278.name=NUM_RD_BYTES[26]
unit.1.7.port.-1.s.278.orderindex=-1
unit.1.7.port.-1.s.278.visible=1
unit.1.7.port.-1.s.279.alias=
unit.1.7.port.-1.s.279.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.279.name=NUM_RD_BYTES[27]
unit.1.7.port.-1.s.279.orderindex=-1
unit.1.7.port.-1.s.279.visible=1
unit.1.7.port.-1.s.28.alias=
unit.1.7.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.28.name=INIT_RD_ADDR[28]
unit.1.7.port.-1.s.28.orderindex=-1
unit.1.7.port.-1.s.28.visible=1
unit.1.7.port.-1.s.280.alias=
unit.1.7.port.-1.s.280.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.280.name=NUM_RD_BYTES[28]
unit.1.7.port.-1.s.280.orderindex=-1
unit.1.7.port.-1.s.280.visible=1
unit.1.7.port.-1.s.281.alias=
unit.1.7.port.-1.s.281.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.281.name=NUM_RD_BYTES[29]
unit.1.7.port.-1.s.281.orderindex=-1
unit.1.7.port.-1.s.281.visible=1
unit.1.7.port.-1.s.282.alias=
unit.1.7.port.-1.s.282.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.282.name=NUM_RD_BYTES[30]
unit.1.7.port.-1.s.282.orderindex=-1
unit.1.7.port.-1.s.282.visible=1
unit.1.7.port.-1.s.283.alias=
unit.1.7.port.-1.s.283.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.283.name=NUM_RD_BYTES[31]
unit.1.7.port.-1.s.283.orderindex=-1
unit.1.7.port.-1.s.283.visible=1
unit.1.7.port.-1.s.29.alias=
unit.1.7.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.29.name=INIT_RD_ADDR[29]
unit.1.7.port.-1.s.29.orderindex=-1
unit.1.7.port.-1.s.29.visible=1
unit.1.7.port.-1.s.3.alias=
unit.1.7.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.3.name=INIT_RD_ADDR[3]
unit.1.7.port.-1.s.3.orderindex=-1
unit.1.7.port.-1.s.3.visible=1
unit.1.7.port.-1.s.30.alias=
unit.1.7.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.30.name=INIT_RD_ADDR[30]
unit.1.7.port.-1.s.30.orderindex=-1
unit.1.7.port.-1.s.30.visible=1
unit.1.7.port.-1.s.31.alias=
unit.1.7.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.31.name=INIT_RD_ADDR[31]
unit.1.7.port.-1.s.31.orderindex=-1
unit.1.7.port.-1.s.31.visible=1
unit.1.7.port.-1.s.32.alias=
unit.1.7.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.32.name=INIT_WR_ADDR[0]
unit.1.7.port.-1.s.32.orderindex=-1
unit.1.7.port.-1.s.32.visible=1
unit.1.7.port.-1.s.33.alias=
unit.1.7.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.33.name=INIT_WR_ADDR[1]
unit.1.7.port.-1.s.33.orderindex=-1
unit.1.7.port.-1.s.33.visible=1
unit.1.7.port.-1.s.34.alias=
unit.1.7.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.34.name=INIT_WR_ADDR[2]
unit.1.7.port.-1.s.34.orderindex=-1
unit.1.7.port.-1.s.34.visible=1
unit.1.7.port.-1.s.35.alias=
unit.1.7.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.35.name=INIT_WR_ADDR[3]
unit.1.7.port.-1.s.35.orderindex=-1
unit.1.7.port.-1.s.35.visible=1
unit.1.7.port.-1.s.36.alias=
unit.1.7.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.36.name=INIT_WR_ADDR[4]
unit.1.7.port.-1.s.36.orderindex=-1
unit.1.7.port.-1.s.36.visible=1
unit.1.7.port.-1.s.37.alias=
unit.1.7.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.37.name=INIT_WR_ADDR[5]
unit.1.7.port.-1.s.37.orderindex=-1
unit.1.7.port.-1.s.37.visible=1
unit.1.7.port.-1.s.38.alias=
unit.1.7.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.38.name=INIT_WR_ADDR[6]
unit.1.7.port.-1.s.38.orderindex=-1
unit.1.7.port.-1.s.38.visible=1
unit.1.7.port.-1.s.39.alias=
unit.1.7.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.39.name=INIT_WR_ADDR[7]
unit.1.7.port.-1.s.39.orderindex=-1
unit.1.7.port.-1.s.39.visible=1
unit.1.7.port.-1.s.4.alias=
unit.1.7.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.4.name=INIT_RD_ADDR[4]
unit.1.7.port.-1.s.4.orderindex=-1
unit.1.7.port.-1.s.4.visible=1
unit.1.7.port.-1.s.40.alias=
unit.1.7.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.40.name=INIT_WR_ADDR[8]
unit.1.7.port.-1.s.40.orderindex=-1
unit.1.7.port.-1.s.40.visible=1
unit.1.7.port.-1.s.41.alias=
unit.1.7.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.41.name=INIT_WR_ADDR[9]
unit.1.7.port.-1.s.41.orderindex=-1
unit.1.7.port.-1.s.41.visible=1
unit.1.7.port.-1.s.42.alias=
unit.1.7.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.42.name=INIT_WR_ADDR[10]
unit.1.7.port.-1.s.42.orderindex=-1
unit.1.7.port.-1.s.42.visible=1
unit.1.7.port.-1.s.43.alias=
unit.1.7.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.43.name=INIT_WR_ADDR[11]
unit.1.7.port.-1.s.43.orderindex=-1
unit.1.7.port.-1.s.43.visible=1
unit.1.7.port.-1.s.44.alias=
unit.1.7.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.44.name=INIT_WR_ADDR[12]
unit.1.7.port.-1.s.44.orderindex=-1
unit.1.7.port.-1.s.44.visible=1
unit.1.7.port.-1.s.45.alias=
unit.1.7.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.45.name=INIT_WR_ADDR[13]
unit.1.7.port.-1.s.45.orderindex=-1
unit.1.7.port.-1.s.45.visible=1
unit.1.7.port.-1.s.46.alias=
unit.1.7.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.46.name=INIT_WR_ADDR[14]
unit.1.7.port.-1.s.46.orderindex=-1
unit.1.7.port.-1.s.46.visible=1
unit.1.7.port.-1.s.47.alias=
unit.1.7.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.47.name=INIT_WR_ADDR[15]
unit.1.7.port.-1.s.47.orderindex=-1
unit.1.7.port.-1.s.47.visible=1
unit.1.7.port.-1.s.48.alias=
unit.1.7.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.48.name=INIT_WR_ADDR[16]
unit.1.7.port.-1.s.48.orderindex=-1
unit.1.7.port.-1.s.48.visible=1
unit.1.7.port.-1.s.49.alias=
unit.1.7.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.49.name=INIT_WR_ADDR[17]
unit.1.7.port.-1.s.49.orderindex=-1
unit.1.7.port.-1.s.49.visible=1
unit.1.7.port.-1.s.5.alias=
unit.1.7.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.5.name=INIT_RD_ADDR[5]
unit.1.7.port.-1.s.5.orderindex=-1
unit.1.7.port.-1.s.5.visible=1
unit.1.7.port.-1.s.50.alias=
unit.1.7.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.50.name=INIT_WR_ADDR[18]
unit.1.7.port.-1.s.50.orderindex=-1
unit.1.7.port.-1.s.50.visible=1
unit.1.7.port.-1.s.51.alias=
unit.1.7.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.51.name=INIT_WR_ADDR[19]
unit.1.7.port.-1.s.51.orderindex=-1
unit.1.7.port.-1.s.51.visible=1
unit.1.7.port.-1.s.52.alias=
unit.1.7.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.52.name=INIT_WR_ADDR[20]
unit.1.7.port.-1.s.52.orderindex=-1
unit.1.7.port.-1.s.52.visible=1
unit.1.7.port.-1.s.53.alias=
unit.1.7.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.53.name=INIT_WR_ADDR[21]
unit.1.7.port.-1.s.53.orderindex=-1
unit.1.7.port.-1.s.53.visible=1
unit.1.7.port.-1.s.54.alias=
unit.1.7.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.54.name=INIT_WR_ADDR[22]
unit.1.7.port.-1.s.54.orderindex=-1
unit.1.7.port.-1.s.54.visible=1
unit.1.7.port.-1.s.55.alias=
unit.1.7.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.55.name=INIT_WR_ADDR[23]
unit.1.7.port.-1.s.55.orderindex=-1
unit.1.7.port.-1.s.55.visible=1
unit.1.7.port.-1.s.56.alias=
unit.1.7.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.56.name=INIT_WR_ADDR[24]
unit.1.7.port.-1.s.56.orderindex=-1
unit.1.7.port.-1.s.56.visible=1
unit.1.7.port.-1.s.57.alias=
unit.1.7.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.57.name=INIT_WR_ADDR[25]
unit.1.7.port.-1.s.57.orderindex=-1
unit.1.7.port.-1.s.57.visible=1
unit.1.7.port.-1.s.58.alias=
unit.1.7.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.58.name=INIT_WR_ADDR[26]
unit.1.7.port.-1.s.58.orderindex=-1
unit.1.7.port.-1.s.58.visible=1
unit.1.7.port.-1.s.59.alias=
unit.1.7.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.59.name=INIT_WR_ADDR[27]
unit.1.7.port.-1.s.59.orderindex=-1
unit.1.7.port.-1.s.59.visible=1
unit.1.7.port.-1.s.6.alias=
unit.1.7.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.6.name=INIT_RD_ADDR[6]
unit.1.7.port.-1.s.6.orderindex=-1
unit.1.7.port.-1.s.6.visible=1
unit.1.7.port.-1.s.60.alias=
unit.1.7.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.60.name=INIT_WR_ADDR[28]
unit.1.7.port.-1.s.60.orderindex=-1
unit.1.7.port.-1.s.60.visible=1
unit.1.7.port.-1.s.61.alias=
unit.1.7.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.61.name=INIT_WR_ADDR[29]
unit.1.7.port.-1.s.61.orderindex=-1
unit.1.7.port.-1.s.61.visible=1
unit.1.7.port.-1.s.62.alias=
unit.1.7.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.62.name=INIT_WR_ADDR[30]
unit.1.7.port.-1.s.62.orderindex=-1
unit.1.7.port.-1.s.62.visible=1
unit.1.7.port.-1.s.63.alias=
unit.1.7.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.63.name=INIT_WR_ADDR[31]
unit.1.7.port.-1.s.63.orderindex=-1
unit.1.7.port.-1.s.63.visible=1
unit.1.7.port.-1.s.64.alias=
unit.1.7.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.64.name=RX_FIFO_DIN[0]
unit.1.7.port.-1.s.64.orderindex=-1
unit.1.7.port.-1.s.64.visible=1
unit.1.7.port.-1.s.65.alias=
unit.1.7.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.65.name=RX_FIFO_DIN[1]
unit.1.7.port.-1.s.65.orderindex=-1
unit.1.7.port.-1.s.65.visible=1
unit.1.7.port.-1.s.66.alias=
unit.1.7.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.66.name=RX_FIFO_DIN[2]
unit.1.7.port.-1.s.66.orderindex=-1
unit.1.7.port.-1.s.66.visible=1
unit.1.7.port.-1.s.67.alias=
unit.1.7.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.67.name=RX_FIFO_DIN[3]
unit.1.7.port.-1.s.67.orderindex=-1
unit.1.7.port.-1.s.67.visible=1
unit.1.7.port.-1.s.68.alias=
unit.1.7.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.68.name=RX_FIFO_DIN[4]
unit.1.7.port.-1.s.68.orderindex=-1
unit.1.7.port.-1.s.68.visible=1
unit.1.7.port.-1.s.69.alias=
unit.1.7.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.69.name=RX_FIFO_DIN[5]
unit.1.7.port.-1.s.69.orderindex=-1
unit.1.7.port.-1.s.69.visible=1
unit.1.7.port.-1.s.7.alias=
unit.1.7.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.7.name=INIT_RD_ADDR[7]
unit.1.7.port.-1.s.7.orderindex=-1
unit.1.7.port.-1.s.7.visible=1
unit.1.7.port.-1.s.70.alias=
unit.1.7.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.70.name=RX_FIFO_DIN[6]
unit.1.7.port.-1.s.70.orderindex=-1
unit.1.7.port.-1.s.70.visible=1
unit.1.7.port.-1.s.71.alias=
unit.1.7.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.71.name=RX_FIFO_DIN[7]
unit.1.7.port.-1.s.71.orderindex=-1
unit.1.7.port.-1.s.71.visible=1
unit.1.7.port.-1.s.72.alias=
unit.1.7.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.72.name=RX_FIFO_DIN[8]
unit.1.7.port.-1.s.72.orderindex=-1
unit.1.7.port.-1.s.72.visible=1
unit.1.7.port.-1.s.73.alias=
unit.1.7.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.73.name=RX_FIFO_DIN[9]
unit.1.7.port.-1.s.73.orderindex=-1
unit.1.7.port.-1.s.73.visible=1
unit.1.7.port.-1.s.74.alias=
unit.1.7.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.74.name=RX_FIFO_DIN[10]
unit.1.7.port.-1.s.74.orderindex=-1
unit.1.7.port.-1.s.74.visible=1
unit.1.7.port.-1.s.75.alias=
unit.1.7.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.75.name=RX_FIFO_DIN[11]
unit.1.7.port.-1.s.75.orderindex=-1
unit.1.7.port.-1.s.75.visible=1
unit.1.7.port.-1.s.76.alias=
unit.1.7.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.76.name=RX_FIFO_DIN[12]
unit.1.7.port.-1.s.76.orderindex=-1
unit.1.7.port.-1.s.76.visible=1
unit.1.7.port.-1.s.77.alias=
unit.1.7.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.77.name=RX_FIFO_DIN[13]
unit.1.7.port.-1.s.77.orderindex=-1
unit.1.7.port.-1.s.77.visible=1
unit.1.7.port.-1.s.78.alias=
unit.1.7.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.78.name=RX_FIFO_DIN[14]
unit.1.7.port.-1.s.78.orderindex=-1
unit.1.7.port.-1.s.78.visible=1
unit.1.7.port.-1.s.79.alias=
unit.1.7.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.79.name=RX_FIFO_DIN[15]
unit.1.7.port.-1.s.79.orderindex=-1
unit.1.7.port.-1.s.79.visible=1
unit.1.7.port.-1.s.8.alias=
unit.1.7.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.8.name=INIT_RD_ADDR[8]
unit.1.7.port.-1.s.8.orderindex=-1
unit.1.7.port.-1.s.8.visible=1
unit.1.7.port.-1.s.80.alias=
unit.1.7.port.-1.s.80.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.80.name=RX_FIFO_DIN[16]
unit.1.7.port.-1.s.80.orderindex=-1
unit.1.7.port.-1.s.80.visible=1
unit.1.7.port.-1.s.81.alias=
unit.1.7.port.-1.s.81.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.81.name=RX_FIFO_DIN[17]
unit.1.7.port.-1.s.81.orderindex=-1
unit.1.7.port.-1.s.81.visible=1
unit.1.7.port.-1.s.82.alias=
unit.1.7.port.-1.s.82.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.82.name=RX_FIFO_DIN[18]
unit.1.7.port.-1.s.82.orderindex=-1
unit.1.7.port.-1.s.82.visible=1
unit.1.7.port.-1.s.83.alias=
unit.1.7.port.-1.s.83.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.83.name=RX_FIFO_DIN[19]
unit.1.7.port.-1.s.83.orderindex=-1
unit.1.7.port.-1.s.83.visible=1
unit.1.7.port.-1.s.84.alias=
unit.1.7.port.-1.s.84.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.84.name=RX_FIFO_DIN[20]
unit.1.7.port.-1.s.84.orderindex=-1
unit.1.7.port.-1.s.84.visible=1
unit.1.7.port.-1.s.85.alias=
unit.1.7.port.-1.s.85.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.85.name=RX_FIFO_DIN[21]
unit.1.7.port.-1.s.85.orderindex=-1
unit.1.7.port.-1.s.85.visible=1
unit.1.7.port.-1.s.86.alias=
unit.1.7.port.-1.s.86.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.86.name=RX_FIFO_DIN[22]
unit.1.7.port.-1.s.86.orderindex=-1
unit.1.7.port.-1.s.86.visible=1
unit.1.7.port.-1.s.87.alias=
unit.1.7.port.-1.s.87.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.87.name=RX_FIFO_DIN[23]
unit.1.7.port.-1.s.87.orderindex=-1
unit.1.7.port.-1.s.87.visible=1
unit.1.7.port.-1.s.88.alias=
unit.1.7.port.-1.s.88.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.88.name=RX_FIFO_DIN[24]
unit.1.7.port.-1.s.88.orderindex=-1
unit.1.7.port.-1.s.88.visible=1
unit.1.7.port.-1.s.89.alias=
unit.1.7.port.-1.s.89.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.89.name=RX_FIFO_DIN[25]
unit.1.7.port.-1.s.89.orderindex=-1
unit.1.7.port.-1.s.89.visible=1
unit.1.7.port.-1.s.9.alias=
unit.1.7.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.9.name=INIT_RD_ADDR[9]
unit.1.7.port.-1.s.9.orderindex=-1
unit.1.7.port.-1.s.9.visible=1
unit.1.7.port.-1.s.90.alias=
unit.1.7.port.-1.s.90.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.90.name=RX_FIFO_DIN[26]
unit.1.7.port.-1.s.90.orderindex=-1
unit.1.7.port.-1.s.90.visible=1
unit.1.7.port.-1.s.91.alias=
unit.1.7.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.91.name=RX_FIFO_DIN[27]
unit.1.7.port.-1.s.91.orderindex=-1
unit.1.7.port.-1.s.91.visible=1
unit.1.7.port.-1.s.92.alias=
unit.1.7.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.92.name=RX_FIFO_DIN[28]
unit.1.7.port.-1.s.92.orderindex=-1
unit.1.7.port.-1.s.92.visible=1
unit.1.7.port.-1.s.93.alias=
unit.1.7.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.93.name=RX_FIFO_DIN[29]
unit.1.7.port.-1.s.93.orderindex=-1
unit.1.7.port.-1.s.93.visible=1
unit.1.7.port.-1.s.94.alias=
unit.1.7.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.94.name=RX_FIFO_DIN[30]
unit.1.7.port.-1.s.94.orderindex=-1
unit.1.7.port.-1.s.94.visible=1
unit.1.7.port.-1.s.95.alias=
unit.1.7.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.95.name=RX_FIFO_DIN[31]
unit.1.7.port.-1.s.95.orderindex=-1
unit.1.7.port.-1.s.95.visible=1
unit.1.7.port.-1.s.96.alias=
unit.1.7.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.96.name=LL_RX_FSM_VALUE_R[0]
unit.1.7.port.-1.s.96.orderindex=-1
unit.1.7.port.-1.s.96.visible=1
unit.1.7.port.-1.s.97.alias=
unit.1.7.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.97.name=LL_RX_FSM_VALUE_R[1]
unit.1.7.port.-1.s.97.orderindex=-1
unit.1.7.port.-1.s.97.visible=1
unit.1.7.port.-1.s.98.alias=
unit.1.7.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.98.name=LL_RX_FSM_VALUE_R[2]
unit.1.7.port.-1.s.98.orderindex=-1
unit.1.7.port.-1.s.98.visible=1
unit.1.7.port.-1.s.99.alias=
unit.1.7.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.-1.s.99.name=LL_RX_FSM_VALUE_R[3]
unit.1.7.port.-1.s.99.orderindex=-1
unit.1.7.port.-1.s.99.visible=1
unit.1.7.port.0.b.0.alias=
unit.1.7.port.0.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.7.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.b.0.name=INIT_RD_ADDR
unit.1.7.port.0.b.0.orderindex=-1
unit.1.7.port.0.b.0.radix=Hex
unit.1.7.port.0.b.0.signedOffset=0.0
unit.1.7.port.0.b.0.signedPrecision=0
unit.1.7.port.0.b.0.signedScaleFactor=1.0
unit.1.7.port.0.b.0.unsignedOffset=0.0
unit.1.7.port.0.b.0.unsignedPrecision=0
unit.1.7.port.0.b.0.unsignedScaleFactor=1.0
unit.1.7.port.0.b.0.visible=1
unit.1.7.port.0.buscount=1
unit.1.7.port.0.channelcount=32
unit.1.7.port.0.s.0.alias=
unit.1.7.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.0.name=INIT_RD_ADDR[0]
unit.1.7.port.0.s.0.orderindex=-1
unit.1.7.port.0.s.0.visible=1
unit.1.7.port.0.s.1.alias=
unit.1.7.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.1.name=INIT_RD_ADDR[1]
unit.1.7.port.0.s.1.orderindex=-1
unit.1.7.port.0.s.1.visible=1
unit.1.7.port.0.s.10.alias=
unit.1.7.port.0.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.10.name=INIT_RD_ADDR[10]
unit.1.7.port.0.s.10.orderindex=-1
unit.1.7.port.0.s.10.visible=1
unit.1.7.port.0.s.11.alias=
unit.1.7.port.0.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.11.name=INIT_RD_ADDR[11]
unit.1.7.port.0.s.11.orderindex=-1
unit.1.7.port.0.s.11.visible=1
unit.1.7.port.0.s.12.alias=
unit.1.7.port.0.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.12.name=INIT_RD_ADDR[12]
unit.1.7.port.0.s.12.orderindex=-1
unit.1.7.port.0.s.12.visible=1
unit.1.7.port.0.s.13.alias=
unit.1.7.port.0.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.13.name=INIT_RD_ADDR[13]
unit.1.7.port.0.s.13.orderindex=-1
unit.1.7.port.0.s.13.visible=1
unit.1.7.port.0.s.14.alias=
unit.1.7.port.0.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.14.name=INIT_RD_ADDR[14]
unit.1.7.port.0.s.14.orderindex=-1
unit.1.7.port.0.s.14.visible=1
unit.1.7.port.0.s.15.alias=
unit.1.7.port.0.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.15.name=INIT_RD_ADDR[15]
unit.1.7.port.0.s.15.orderindex=-1
unit.1.7.port.0.s.15.visible=1
unit.1.7.port.0.s.16.alias=
unit.1.7.port.0.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.16.name=INIT_RD_ADDR[16]
unit.1.7.port.0.s.16.orderindex=-1
unit.1.7.port.0.s.16.visible=1
unit.1.7.port.0.s.17.alias=
unit.1.7.port.0.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.17.name=INIT_RD_ADDR[17]
unit.1.7.port.0.s.17.orderindex=-1
unit.1.7.port.0.s.17.visible=1
unit.1.7.port.0.s.18.alias=
unit.1.7.port.0.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.18.name=INIT_RD_ADDR[18]
unit.1.7.port.0.s.18.orderindex=-1
unit.1.7.port.0.s.18.visible=1
unit.1.7.port.0.s.19.alias=
unit.1.7.port.0.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.19.name=INIT_RD_ADDR[19]
unit.1.7.port.0.s.19.orderindex=-1
unit.1.7.port.0.s.19.visible=1
unit.1.7.port.0.s.2.alias=
unit.1.7.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.2.name=INIT_RD_ADDR[2]
unit.1.7.port.0.s.2.orderindex=-1
unit.1.7.port.0.s.2.visible=1
unit.1.7.port.0.s.20.alias=
unit.1.7.port.0.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.20.name=INIT_RD_ADDR[20]
unit.1.7.port.0.s.20.orderindex=-1
unit.1.7.port.0.s.20.visible=1
unit.1.7.port.0.s.21.alias=
unit.1.7.port.0.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.21.name=INIT_RD_ADDR[21]
unit.1.7.port.0.s.21.orderindex=-1
unit.1.7.port.0.s.21.visible=1
unit.1.7.port.0.s.22.alias=
unit.1.7.port.0.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.22.name=INIT_RD_ADDR[22]
unit.1.7.port.0.s.22.orderindex=-1
unit.1.7.port.0.s.22.visible=1
unit.1.7.port.0.s.23.alias=
unit.1.7.port.0.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.23.name=INIT_RD_ADDR[23]
unit.1.7.port.0.s.23.orderindex=-1
unit.1.7.port.0.s.23.visible=1
unit.1.7.port.0.s.24.alias=
unit.1.7.port.0.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.24.name=INIT_RD_ADDR[24]
unit.1.7.port.0.s.24.orderindex=-1
unit.1.7.port.0.s.24.visible=1
unit.1.7.port.0.s.25.alias=
unit.1.7.port.0.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.25.name=INIT_RD_ADDR[25]
unit.1.7.port.0.s.25.orderindex=-1
unit.1.7.port.0.s.25.visible=1
unit.1.7.port.0.s.26.alias=
unit.1.7.port.0.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.26.name=INIT_RD_ADDR[26]
unit.1.7.port.0.s.26.orderindex=-1
unit.1.7.port.0.s.26.visible=1
unit.1.7.port.0.s.27.alias=
unit.1.7.port.0.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.27.name=INIT_RD_ADDR[27]
unit.1.7.port.0.s.27.orderindex=-1
unit.1.7.port.0.s.27.visible=1
unit.1.7.port.0.s.28.alias=
unit.1.7.port.0.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.28.name=INIT_RD_ADDR[28]
unit.1.7.port.0.s.28.orderindex=-1
unit.1.7.port.0.s.28.visible=1
unit.1.7.port.0.s.29.alias=
unit.1.7.port.0.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.29.name=INIT_RD_ADDR[29]
unit.1.7.port.0.s.29.orderindex=-1
unit.1.7.port.0.s.29.visible=1
unit.1.7.port.0.s.3.alias=
unit.1.7.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.3.name=INIT_RD_ADDR[3]
unit.1.7.port.0.s.3.orderindex=-1
unit.1.7.port.0.s.3.visible=1
unit.1.7.port.0.s.30.alias=
unit.1.7.port.0.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.30.name=INIT_RD_ADDR[30]
unit.1.7.port.0.s.30.orderindex=-1
unit.1.7.port.0.s.30.visible=1
unit.1.7.port.0.s.31.alias=
unit.1.7.port.0.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.31.name=INIT_RD_ADDR[31]
unit.1.7.port.0.s.31.orderindex=-1
unit.1.7.port.0.s.31.visible=1
unit.1.7.port.0.s.4.alias=
unit.1.7.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.4.name=INIT_RD_ADDR[4]
unit.1.7.port.0.s.4.orderindex=-1
unit.1.7.port.0.s.4.visible=1
unit.1.7.port.0.s.5.alias=
unit.1.7.port.0.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.5.name=INIT_RD_ADDR[5]
unit.1.7.port.0.s.5.orderindex=-1
unit.1.7.port.0.s.5.visible=1
unit.1.7.port.0.s.6.alias=
unit.1.7.port.0.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.6.name=INIT_RD_ADDR[6]
unit.1.7.port.0.s.6.orderindex=-1
unit.1.7.port.0.s.6.visible=1
unit.1.7.port.0.s.7.alias=
unit.1.7.port.0.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.7.name=INIT_RD_ADDR[7]
unit.1.7.port.0.s.7.orderindex=-1
unit.1.7.port.0.s.7.visible=1
unit.1.7.port.0.s.8.alias=
unit.1.7.port.0.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.8.name=INIT_RD_ADDR[8]
unit.1.7.port.0.s.8.orderindex=-1
unit.1.7.port.0.s.8.visible=1
unit.1.7.port.0.s.9.alias=
unit.1.7.port.0.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.0.s.9.name=INIT_RD_ADDR[9]
unit.1.7.port.0.s.9.orderindex=-1
unit.1.7.port.0.s.9.visible=1
unit.1.7.port.1.b.0.alias=
unit.1.7.port.1.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.7.port.1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.b.0.name=INIT_WR_ADDR
unit.1.7.port.1.b.0.orderindex=-1
unit.1.7.port.1.b.0.radix=Hex
unit.1.7.port.1.b.0.signedOffset=0.0
unit.1.7.port.1.b.0.signedPrecision=0
unit.1.7.port.1.b.0.signedScaleFactor=1.0
unit.1.7.port.1.b.0.unsignedOffset=0.0
unit.1.7.port.1.b.0.unsignedPrecision=0
unit.1.7.port.1.b.0.unsignedScaleFactor=1.0
unit.1.7.port.1.b.0.visible=1
unit.1.7.port.1.buscount=1
unit.1.7.port.1.channelcount=32
unit.1.7.port.1.s.0.alias=
unit.1.7.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.0.name=INIT_WR_ADDR[0]
unit.1.7.port.1.s.0.orderindex=-1
unit.1.7.port.1.s.0.visible=1
unit.1.7.port.1.s.1.alias=
unit.1.7.port.1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.1.name=INIT_WR_ADDR[1]
unit.1.7.port.1.s.1.orderindex=-1
unit.1.7.port.1.s.1.visible=1
unit.1.7.port.1.s.10.alias=
unit.1.7.port.1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.10.name=INIT_WR_ADDR[10]
unit.1.7.port.1.s.10.orderindex=-1
unit.1.7.port.1.s.10.visible=1
unit.1.7.port.1.s.11.alias=
unit.1.7.port.1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.11.name=INIT_WR_ADDR[11]
unit.1.7.port.1.s.11.orderindex=-1
unit.1.7.port.1.s.11.visible=1
unit.1.7.port.1.s.12.alias=
unit.1.7.port.1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.12.name=INIT_WR_ADDR[12]
unit.1.7.port.1.s.12.orderindex=-1
unit.1.7.port.1.s.12.visible=1
unit.1.7.port.1.s.13.alias=
unit.1.7.port.1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.13.name=INIT_WR_ADDR[13]
unit.1.7.port.1.s.13.orderindex=-1
unit.1.7.port.1.s.13.visible=1
unit.1.7.port.1.s.14.alias=
unit.1.7.port.1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.14.name=INIT_WR_ADDR[14]
unit.1.7.port.1.s.14.orderindex=-1
unit.1.7.port.1.s.14.visible=1
unit.1.7.port.1.s.15.alias=
unit.1.7.port.1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.15.name=INIT_WR_ADDR[15]
unit.1.7.port.1.s.15.orderindex=-1
unit.1.7.port.1.s.15.visible=1
unit.1.7.port.1.s.16.alias=
unit.1.7.port.1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.16.name=INIT_WR_ADDR[16]
unit.1.7.port.1.s.16.orderindex=-1
unit.1.7.port.1.s.16.visible=1
unit.1.7.port.1.s.17.alias=
unit.1.7.port.1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.17.name=INIT_WR_ADDR[17]
unit.1.7.port.1.s.17.orderindex=-1
unit.1.7.port.1.s.17.visible=1
unit.1.7.port.1.s.18.alias=
unit.1.7.port.1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.18.name=INIT_WR_ADDR[18]
unit.1.7.port.1.s.18.orderindex=-1
unit.1.7.port.1.s.18.visible=1
unit.1.7.port.1.s.19.alias=
unit.1.7.port.1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.19.name=INIT_WR_ADDR[19]
unit.1.7.port.1.s.19.orderindex=-1
unit.1.7.port.1.s.19.visible=1
unit.1.7.port.1.s.2.alias=
unit.1.7.port.1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.2.name=INIT_WR_ADDR[2]
unit.1.7.port.1.s.2.orderindex=-1
unit.1.7.port.1.s.2.visible=1
unit.1.7.port.1.s.20.alias=
unit.1.7.port.1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.20.name=INIT_WR_ADDR[20]
unit.1.7.port.1.s.20.orderindex=-1
unit.1.7.port.1.s.20.visible=1
unit.1.7.port.1.s.21.alias=
unit.1.7.port.1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.21.name=INIT_WR_ADDR[21]
unit.1.7.port.1.s.21.orderindex=-1
unit.1.7.port.1.s.21.visible=1
unit.1.7.port.1.s.22.alias=
unit.1.7.port.1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.22.name=INIT_WR_ADDR[22]
unit.1.7.port.1.s.22.orderindex=-1
unit.1.7.port.1.s.22.visible=1
unit.1.7.port.1.s.23.alias=
unit.1.7.port.1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.23.name=INIT_WR_ADDR[23]
unit.1.7.port.1.s.23.orderindex=-1
unit.1.7.port.1.s.23.visible=1
unit.1.7.port.1.s.24.alias=
unit.1.7.port.1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.24.name=INIT_WR_ADDR[24]
unit.1.7.port.1.s.24.orderindex=-1
unit.1.7.port.1.s.24.visible=1
unit.1.7.port.1.s.25.alias=
unit.1.7.port.1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.25.name=INIT_WR_ADDR[25]
unit.1.7.port.1.s.25.orderindex=-1
unit.1.7.port.1.s.25.visible=1
unit.1.7.port.1.s.26.alias=
unit.1.7.port.1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.26.name=INIT_WR_ADDR[26]
unit.1.7.port.1.s.26.orderindex=-1
unit.1.7.port.1.s.26.visible=1
unit.1.7.port.1.s.27.alias=
unit.1.7.port.1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.27.name=INIT_WR_ADDR[27]
unit.1.7.port.1.s.27.orderindex=-1
unit.1.7.port.1.s.27.visible=1
unit.1.7.port.1.s.28.alias=
unit.1.7.port.1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.28.name=INIT_WR_ADDR[28]
unit.1.7.port.1.s.28.orderindex=-1
unit.1.7.port.1.s.28.visible=1
unit.1.7.port.1.s.29.alias=
unit.1.7.port.1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.29.name=INIT_WR_ADDR[29]
unit.1.7.port.1.s.29.orderindex=-1
unit.1.7.port.1.s.29.visible=1
unit.1.7.port.1.s.3.alias=
unit.1.7.port.1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.3.name=INIT_WR_ADDR[3]
unit.1.7.port.1.s.3.orderindex=-1
unit.1.7.port.1.s.3.visible=1
unit.1.7.port.1.s.30.alias=
unit.1.7.port.1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.30.name=INIT_WR_ADDR[30]
unit.1.7.port.1.s.30.orderindex=-1
unit.1.7.port.1.s.30.visible=1
unit.1.7.port.1.s.31.alias=
unit.1.7.port.1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.31.name=INIT_WR_ADDR[31]
unit.1.7.port.1.s.31.orderindex=-1
unit.1.7.port.1.s.31.visible=1
unit.1.7.port.1.s.4.alias=
unit.1.7.port.1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.4.name=INIT_WR_ADDR[4]
unit.1.7.port.1.s.4.orderindex=-1
unit.1.7.port.1.s.4.visible=1
unit.1.7.port.1.s.5.alias=
unit.1.7.port.1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.5.name=INIT_WR_ADDR[5]
unit.1.7.port.1.s.5.orderindex=-1
unit.1.7.port.1.s.5.visible=1
unit.1.7.port.1.s.6.alias=
unit.1.7.port.1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.6.name=INIT_WR_ADDR[6]
unit.1.7.port.1.s.6.orderindex=-1
unit.1.7.port.1.s.6.visible=1
unit.1.7.port.1.s.7.alias=
unit.1.7.port.1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.7.name=INIT_WR_ADDR[7]
unit.1.7.port.1.s.7.orderindex=-1
unit.1.7.port.1.s.7.visible=1
unit.1.7.port.1.s.8.alias=
unit.1.7.port.1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.8.name=INIT_WR_ADDR[8]
unit.1.7.port.1.s.8.orderindex=-1
unit.1.7.port.1.s.8.visible=1
unit.1.7.port.1.s.9.alias=
unit.1.7.port.1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.1.s.9.name=INIT_WR_ADDR[9]
unit.1.7.port.1.s.9.orderindex=-1
unit.1.7.port.1.s.9.visible=1
unit.1.7.port.2.b.0.alias=
unit.1.7.port.2.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.7.port.2.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.b.0.name=RX_FIFO_DIN
unit.1.7.port.2.b.0.orderindex=-1
unit.1.7.port.2.b.0.radix=Hex
unit.1.7.port.2.b.0.signedOffset=0.0
unit.1.7.port.2.b.0.signedPrecision=0
unit.1.7.port.2.b.0.signedScaleFactor=1.0
unit.1.7.port.2.b.0.unsignedOffset=0.0
unit.1.7.port.2.b.0.unsignedPrecision=0
unit.1.7.port.2.b.0.unsignedScaleFactor=1.0
unit.1.7.port.2.b.0.visible=1
unit.1.7.port.2.buscount=1
unit.1.7.port.2.channelcount=32
unit.1.7.port.2.s.0.alias=
unit.1.7.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.0.name=RX_FIFO_DIN[0]
unit.1.7.port.2.s.0.orderindex=-1
unit.1.7.port.2.s.0.visible=1
unit.1.7.port.2.s.1.alias=
unit.1.7.port.2.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.1.name=RX_FIFO_DIN[1]
unit.1.7.port.2.s.1.orderindex=-1
unit.1.7.port.2.s.1.visible=1
unit.1.7.port.2.s.10.alias=
unit.1.7.port.2.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.10.name=RX_FIFO_DIN[10]
unit.1.7.port.2.s.10.orderindex=-1
unit.1.7.port.2.s.10.visible=1
unit.1.7.port.2.s.11.alias=
unit.1.7.port.2.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.11.name=RX_FIFO_DIN[11]
unit.1.7.port.2.s.11.orderindex=-1
unit.1.7.port.2.s.11.visible=1
unit.1.7.port.2.s.12.alias=
unit.1.7.port.2.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.12.name=RX_FIFO_DIN[12]
unit.1.7.port.2.s.12.orderindex=-1
unit.1.7.port.2.s.12.visible=1
unit.1.7.port.2.s.13.alias=
unit.1.7.port.2.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.13.name=RX_FIFO_DIN[13]
unit.1.7.port.2.s.13.orderindex=-1
unit.1.7.port.2.s.13.visible=1
unit.1.7.port.2.s.14.alias=
unit.1.7.port.2.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.14.name=RX_FIFO_DIN[14]
unit.1.7.port.2.s.14.orderindex=-1
unit.1.7.port.2.s.14.visible=1
unit.1.7.port.2.s.15.alias=
unit.1.7.port.2.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.15.name=RX_FIFO_DIN[15]
unit.1.7.port.2.s.15.orderindex=-1
unit.1.7.port.2.s.15.visible=1
unit.1.7.port.2.s.16.alias=
unit.1.7.port.2.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.16.name=RX_FIFO_DIN[16]
unit.1.7.port.2.s.16.orderindex=-1
unit.1.7.port.2.s.16.visible=1
unit.1.7.port.2.s.17.alias=
unit.1.7.port.2.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.17.name=RX_FIFO_DIN[17]
unit.1.7.port.2.s.17.orderindex=-1
unit.1.7.port.2.s.17.visible=1
unit.1.7.port.2.s.18.alias=
unit.1.7.port.2.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.18.name=RX_FIFO_DIN[18]
unit.1.7.port.2.s.18.orderindex=-1
unit.1.7.port.2.s.18.visible=1
unit.1.7.port.2.s.19.alias=
unit.1.7.port.2.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.19.name=RX_FIFO_DIN[19]
unit.1.7.port.2.s.19.orderindex=-1
unit.1.7.port.2.s.19.visible=1
unit.1.7.port.2.s.2.alias=
unit.1.7.port.2.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.2.name=RX_FIFO_DIN[2]
unit.1.7.port.2.s.2.orderindex=-1
unit.1.7.port.2.s.2.visible=1
unit.1.7.port.2.s.20.alias=
unit.1.7.port.2.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.20.name=RX_FIFO_DIN[20]
unit.1.7.port.2.s.20.orderindex=-1
unit.1.7.port.2.s.20.visible=1
unit.1.7.port.2.s.21.alias=
unit.1.7.port.2.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.21.name=RX_FIFO_DIN[21]
unit.1.7.port.2.s.21.orderindex=-1
unit.1.7.port.2.s.21.visible=1
unit.1.7.port.2.s.22.alias=
unit.1.7.port.2.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.22.name=RX_FIFO_DIN[22]
unit.1.7.port.2.s.22.orderindex=-1
unit.1.7.port.2.s.22.visible=1
unit.1.7.port.2.s.23.alias=
unit.1.7.port.2.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.23.name=RX_FIFO_DIN[23]
unit.1.7.port.2.s.23.orderindex=-1
unit.1.7.port.2.s.23.visible=1
unit.1.7.port.2.s.24.alias=
unit.1.7.port.2.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.24.name=RX_FIFO_DIN[24]
unit.1.7.port.2.s.24.orderindex=-1
unit.1.7.port.2.s.24.visible=1
unit.1.7.port.2.s.25.alias=
unit.1.7.port.2.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.25.name=RX_FIFO_DIN[25]
unit.1.7.port.2.s.25.orderindex=-1
unit.1.7.port.2.s.25.visible=1
unit.1.7.port.2.s.26.alias=
unit.1.7.port.2.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.26.name=RX_FIFO_DIN[26]
unit.1.7.port.2.s.26.orderindex=-1
unit.1.7.port.2.s.26.visible=1
unit.1.7.port.2.s.27.alias=
unit.1.7.port.2.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.27.name=RX_FIFO_DIN[27]
unit.1.7.port.2.s.27.orderindex=-1
unit.1.7.port.2.s.27.visible=1
unit.1.7.port.2.s.28.alias=
unit.1.7.port.2.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.28.name=RX_FIFO_DIN[28]
unit.1.7.port.2.s.28.orderindex=-1
unit.1.7.port.2.s.28.visible=1
unit.1.7.port.2.s.29.alias=
unit.1.7.port.2.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.29.name=RX_FIFO_DIN[29]
unit.1.7.port.2.s.29.orderindex=-1
unit.1.7.port.2.s.29.visible=1
unit.1.7.port.2.s.3.alias=
unit.1.7.port.2.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.3.name=RX_FIFO_DIN[3]
unit.1.7.port.2.s.3.orderindex=-1
unit.1.7.port.2.s.3.visible=1
unit.1.7.port.2.s.30.alias=
unit.1.7.port.2.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.30.name=RX_FIFO_DIN[30]
unit.1.7.port.2.s.30.orderindex=-1
unit.1.7.port.2.s.30.visible=1
unit.1.7.port.2.s.31.alias=
unit.1.7.port.2.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.31.name=RX_FIFO_DIN[31]
unit.1.7.port.2.s.31.orderindex=-1
unit.1.7.port.2.s.31.visible=1
unit.1.7.port.2.s.4.alias=
unit.1.7.port.2.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.4.name=RX_FIFO_DIN[4]
unit.1.7.port.2.s.4.orderindex=-1
unit.1.7.port.2.s.4.visible=1
unit.1.7.port.2.s.5.alias=
unit.1.7.port.2.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.5.name=RX_FIFO_DIN[5]
unit.1.7.port.2.s.5.orderindex=-1
unit.1.7.port.2.s.5.visible=1
unit.1.7.port.2.s.6.alias=
unit.1.7.port.2.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.6.name=RX_FIFO_DIN[6]
unit.1.7.port.2.s.6.orderindex=-1
unit.1.7.port.2.s.6.visible=1
unit.1.7.port.2.s.7.alias=
unit.1.7.port.2.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.7.name=RX_FIFO_DIN[7]
unit.1.7.port.2.s.7.orderindex=-1
unit.1.7.port.2.s.7.visible=1
unit.1.7.port.2.s.8.alias=
unit.1.7.port.2.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.8.name=RX_FIFO_DIN[8]
unit.1.7.port.2.s.8.orderindex=-1
unit.1.7.port.2.s.8.visible=1
unit.1.7.port.2.s.9.alias=
unit.1.7.port.2.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.2.s.9.name=RX_FIFO_DIN[9]
unit.1.7.port.2.s.9.orderindex=-1
unit.1.7.port.2.s.9.visible=1
unit.1.7.port.3.b.0.alias=
unit.1.7.port.3.b.0.channellist=0 1 2 3
unit.1.7.port.3.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.3.b.0.name=LL_RX_FSM_VALUE_R
unit.1.7.port.3.b.0.orderindex=-1
unit.1.7.port.3.b.0.radix=Hex
unit.1.7.port.3.b.0.signedOffset=0.0
unit.1.7.port.3.b.0.signedPrecision=0
unit.1.7.port.3.b.0.signedScaleFactor=1.0
unit.1.7.port.3.b.0.unsignedOffset=0.0
unit.1.7.port.3.b.0.unsignedPrecision=0
unit.1.7.port.3.b.0.unsignedScaleFactor=1.0
unit.1.7.port.3.b.0.visible=1
unit.1.7.port.3.buscount=1
unit.1.7.port.3.channelcount=4
unit.1.7.port.3.s.0.alias=
unit.1.7.port.3.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.3.s.0.name=LL_RX_FSM_VALUE_R[0]
unit.1.7.port.3.s.0.orderindex=-1
unit.1.7.port.3.s.0.visible=1
unit.1.7.port.3.s.1.alias=
unit.1.7.port.3.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.3.s.1.name=LL_RX_FSM_VALUE_R[1]
unit.1.7.port.3.s.1.orderindex=-1
unit.1.7.port.3.s.1.visible=1
unit.1.7.port.3.s.2.alias=
unit.1.7.port.3.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.3.s.2.name=LL_RX_FSM_VALUE_R[2]
unit.1.7.port.3.s.2.orderindex=-1
unit.1.7.port.3.s.2.visible=1
unit.1.7.port.3.s.3.alias=
unit.1.7.port.3.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.3.s.3.name=LL_RX_FSM_VALUE_R[3]
unit.1.7.port.3.s.3.orderindex=-1
unit.1.7.port.3.s.3.visible=1
unit.1.7.port.4.b.0.alias=
unit.1.7.port.4.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23
unit.1.7.port.4.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.b.0.name=TRIG4
unit.1.7.port.4.b.0.orderindex=-1
unit.1.7.port.4.b.0.radix=Hex
unit.1.7.port.4.b.0.signedOffset=0.0
unit.1.7.port.4.b.0.signedPrecision=0
unit.1.7.port.4.b.0.signedScaleFactor=1.0
unit.1.7.port.4.b.0.unsignedOffset=0.0
unit.1.7.port.4.b.0.unsignedPrecision=0
unit.1.7.port.4.b.0.unsignedScaleFactor=1.0
unit.1.7.port.4.b.0.visible=1
unit.1.7.port.4.buscount=1
unit.1.7.port.4.channelcount=24
unit.1.7.port.4.s.0.alias=
unit.1.7.port.4.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.0.name=CORE_RFD
unit.1.7.port.4.s.0.orderindex=-1
unit.1.7.port.4.s.0.visible=1
unit.1.7.port.4.s.1.alias=
unit.1.7.port.4.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.1.name=DATA_TO_MEM_WE
unit.1.7.port.4.s.1.orderindex=-1
unit.1.7.port.4.s.1.visible=1
unit.1.7.port.4.s.10.alias=
unit.1.7.port.4.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.10.name=TX_FIFO_EMPTY
unit.1.7.port.4.s.10.orderindex=-1
unit.1.7.port.4.s.10.visible=1
unit.1.7.port.4.s.11.alias=
unit.1.7.port.4.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.11.name=TX_FIFO_FULL
unit.1.7.port.4.s.11.orderindex=-1
unit.1.7.port.4.s.11.visible=1
unit.1.7.port.4.s.12.alias=
unit.1.7.port.4.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.12.name=TX_FIFO_PROG_FULL
unit.1.7.port.4.s.12.orderindex=-1
unit.1.7.port.4.s.12.visible=1
unit.1.7.port.4.s.13.alias=
unit.1.7.port.4.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.13.name=TX_FIFO_VALID
unit.1.7.port.4.s.13.orderindex=-1
unit.1.7.port.4.s.13.visible=1
unit.1.7.port.4.s.14.alias=
unit.1.7.port.4.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.14.name=RX_FIFO_RE
unit.1.7.port.4.s.14.orderindex=-1
unit.1.7.port.4.s.14.visible=1
unit.1.7.port.4.s.15.alias=
unit.1.7.port.4.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.15.name=RX_FIFO_WE
unit.1.7.port.4.s.15.orderindex=-1
unit.1.7.port.4.s.15.visible=1
unit.1.7.port.4.s.16.alias=
unit.1.7.port.4.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.16.name=RX_FIFO_EMPTY
unit.1.7.port.4.s.16.orderindex=-1
unit.1.7.port.4.s.16.visible=1
unit.1.7.port.4.s.17.alias=
unit.1.7.port.4.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.17.name=RX_FIFO_FULL
unit.1.7.port.4.s.17.orderindex=-1
unit.1.7.port.4.s.17.visible=1
unit.1.7.port.4.s.18.alias=
unit.1.7.port.4.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.18.name=RX_FIFO_VALID
unit.1.7.port.4.s.18.orderindex=-1
unit.1.7.port.4.s.18.visible=1
unit.1.7.port.4.s.19.alias=
unit.1.7.port.4.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.19.name=RX_FIFO_PROG_FULL
unit.1.7.port.4.s.19.orderindex=-1
unit.1.7.port.4.s.19.visible=1
unit.1.7.port.4.s.2.alias=
unit.1.7.port.4.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.2.name=DATA_TO_MEM_RE
unit.1.7.port.4.s.2.orderindex=-1
unit.1.7.port.4.s.2.visible=1
unit.1.7.port.4.s.20.alias=new_cmd_r
unit.1.7.port.4.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.20.name=READ_REQ_R
unit.1.7.port.4.s.20.orderindex=-1
unit.1.7.port.4.s.20.visible=1
unit.1.7.port.4.s.21.alias=NPI_ready_for_cmd
unit.1.7.port.4.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.21.name=TRIG4[21]
unit.1.7.port.4.s.21.orderindex=-1
unit.1.7.port.4.s.21.visible=1
unit.1.7.port.4.s.22.alias=new_cmd_r2
unit.1.7.port.4.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.22.name=TRIG4[22]
unit.1.7.port.4.s.22.orderindex=-1
unit.1.7.port.4.s.22.visible=1
unit.1.7.port.4.s.23.alias=
unit.1.7.port.4.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.23.name=TRIG4[23]
unit.1.7.port.4.s.23.orderindex=-1
unit.1.7.port.4.s.23.visible=1
unit.1.7.port.4.s.3.alias=
unit.1.7.port.4.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.3.name=RD_REQ_START
unit.1.7.port.4.s.3.orderindex=-1
unit.1.7.port.4.s.3.visible=1
unit.1.7.port.4.s.4.alias=
unit.1.7.port.4.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.4.name=WR_REQ_START
unit.1.7.port.4.s.4.orderindex=-1
unit.1.7.port.4.s.4.visible=1
unit.1.7.port.4.s.5.alias=
unit.1.7.port.4.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.5.name=RD_REQ_DONE
unit.1.7.port.4.s.5.orderindex=-1
unit.1.7.port.4.s.5.visible=1
unit.1.7.port.4.s.6.alias=
unit.1.7.port.4.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.6.name=WR_REQ_DONE
unit.1.7.port.4.s.6.orderindex=-1
unit.1.7.port.4.s.6.visible=1
unit.1.7.port.4.s.7.alias=
unit.1.7.port.4.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.7.name=TRIG4[7]
unit.1.7.port.4.s.7.orderindex=-1
unit.1.7.port.4.s.7.visible=1
unit.1.7.port.4.s.8.alias=
unit.1.7.port.4.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.8.name=TX_FIFO_RE
unit.1.7.port.4.s.8.orderindex=-1
unit.1.7.port.4.s.8.visible=1
unit.1.7.port.4.s.9.alias=
unit.1.7.port.4.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.4.s.9.name=TX_FIFO_WE
unit.1.7.port.4.s.9.orderindex=-1
unit.1.7.port.4.s.9.visible=1
unit.1.7.port.5.b.0.alias=
unit.1.7.port.5.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63
unit.1.7.port.5.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.b.0.name=RX_FIFO_DOUT
unit.1.7.port.5.b.0.orderindex=-1
unit.1.7.port.5.b.0.radix=Hex
unit.1.7.port.5.b.0.signedOffset=0.0
unit.1.7.port.5.b.0.signedPrecision=0
unit.1.7.port.5.b.0.signedScaleFactor=1.0
unit.1.7.port.5.b.0.unsignedOffset=0.0
unit.1.7.port.5.b.0.unsignedPrecision=0
unit.1.7.port.5.b.0.unsignedScaleFactor=1.0
unit.1.7.port.5.b.0.visible=1
unit.1.7.port.5.buscount=1
unit.1.7.port.5.channelcount=64
unit.1.7.port.5.s.0.alias=
unit.1.7.port.5.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.0.name=RX_FIFO_DOUT[0]
unit.1.7.port.5.s.0.orderindex=-1
unit.1.7.port.5.s.0.visible=1
unit.1.7.port.5.s.1.alias=
unit.1.7.port.5.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.1.name=RX_FIFO_DOUT[1]
unit.1.7.port.5.s.1.orderindex=-1
unit.1.7.port.5.s.1.visible=1
unit.1.7.port.5.s.10.alias=
unit.1.7.port.5.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.10.name=RX_FIFO_DOUT[10]
unit.1.7.port.5.s.10.orderindex=-1
unit.1.7.port.5.s.10.visible=1
unit.1.7.port.5.s.11.alias=
unit.1.7.port.5.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.11.name=RX_FIFO_DOUT[11]
unit.1.7.port.5.s.11.orderindex=-1
unit.1.7.port.5.s.11.visible=1
unit.1.7.port.5.s.12.alias=
unit.1.7.port.5.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.12.name=RX_FIFO_DOUT[12]
unit.1.7.port.5.s.12.orderindex=-1
unit.1.7.port.5.s.12.visible=1
unit.1.7.port.5.s.13.alias=
unit.1.7.port.5.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.13.name=RX_FIFO_DOUT[13]
unit.1.7.port.5.s.13.orderindex=-1
unit.1.7.port.5.s.13.visible=1
unit.1.7.port.5.s.14.alias=
unit.1.7.port.5.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.14.name=RX_FIFO_DOUT[14]
unit.1.7.port.5.s.14.orderindex=-1
unit.1.7.port.5.s.14.visible=1
unit.1.7.port.5.s.15.alias=
unit.1.7.port.5.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.15.name=RX_FIFO_DOUT[15]
unit.1.7.port.5.s.15.orderindex=-1
unit.1.7.port.5.s.15.visible=1
unit.1.7.port.5.s.16.alias=
unit.1.7.port.5.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.16.name=RX_FIFO_DOUT[16]
unit.1.7.port.5.s.16.orderindex=-1
unit.1.7.port.5.s.16.visible=1
unit.1.7.port.5.s.17.alias=
unit.1.7.port.5.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.17.name=RX_FIFO_DOUT[17]
unit.1.7.port.5.s.17.orderindex=-1
unit.1.7.port.5.s.17.visible=1
unit.1.7.port.5.s.18.alias=
unit.1.7.port.5.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.18.name=RX_FIFO_DOUT[18]
unit.1.7.port.5.s.18.orderindex=-1
unit.1.7.port.5.s.18.visible=1
unit.1.7.port.5.s.19.alias=
unit.1.7.port.5.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.19.name=RX_FIFO_DOUT[19]
unit.1.7.port.5.s.19.orderindex=-1
unit.1.7.port.5.s.19.visible=1
unit.1.7.port.5.s.2.alias=
unit.1.7.port.5.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.2.name=RX_FIFO_DOUT[2]
unit.1.7.port.5.s.2.orderindex=-1
unit.1.7.port.5.s.2.visible=1
unit.1.7.port.5.s.20.alias=
unit.1.7.port.5.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.20.name=RX_FIFO_DOUT[20]
unit.1.7.port.5.s.20.orderindex=-1
unit.1.7.port.5.s.20.visible=1
unit.1.7.port.5.s.21.alias=
unit.1.7.port.5.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.21.name=RX_FIFO_DOUT[21]
unit.1.7.port.5.s.21.orderindex=-1
unit.1.7.port.5.s.21.visible=1
unit.1.7.port.5.s.22.alias=
unit.1.7.port.5.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.22.name=RX_FIFO_DOUT[22]
unit.1.7.port.5.s.22.orderindex=-1
unit.1.7.port.5.s.22.visible=1
unit.1.7.port.5.s.23.alias=
unit.1.7.port.5.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.23.name=RX_FIFO_DOUT[23]
unit.1.7.port.5.s.23.orderindex=-1
unit.1.7.port.5.s.23.visible=1
unit.1.7.port.5.s.24.alias=
unit.1.7.port.5.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.24.name=RX_FIFO_DOUT[24]
unit.1.7.port.5.s.24.orderindex=-1
unit.1.7.port.5.s.24.visible=1
unit.1.7.port.5.s.25.alias=
unit.1.7.port.5.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.25.name=RX_FIFO_DOUT[25]
unit.1.7.port.5.s.25.orderindex=-1
unit.1.7.port.5.s.25.visible=1
unit.1.7.port.5.s.26.alias=
unit.1.7.port.5.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.26.name=RX_FIFO_DOUT[26]
unit.1.7.port.5.s.26.orderindex=-1
unit.1.7.port.5.s.26.visible=1
unit.1.7.port.5.s.27.alias=
unit.1.7.port.5.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.27.name=RX_FIFO_DOUT[27]
unit.1.7.port.5.s.27.orderindex=-1
unit.1.7.port.5.s.27.visible=1
unit.1.7.port.5.s.28.alias=
unit.1.7.port.5.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.28.name=RX_FIFO_DOUT[28]
unit.1.7.port.5.s.28.orderindex=-1
unit.1.7.port.5.s.28.visible=1
unit.1.7.port.5.s.29.alias=
unit.1.7.port.5.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.29.name=RX_FIFO_DOUT[29]
unit.1.7.port.5.s.29.orderindex=-1
unit.1.7.port.5.s.29.visible=1
unit.1.7.port.5.s.3.alias=
unit.1.7.port.5.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.3.name=RX_FIFO_DOUT[3]
unit.1.7.port.5.s.3.orderindex=-1
unit.1.7.port.5.s.3.visible=1
unit.1.7.port.5.s.30.alias=
unit.1.7.port.5.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.30.name=RX_FIFO_DOUT[30]
unit.1.7.port.5.s.30.orderindex=-1
unit.1.7.port.5.s.30.visible=1
unit.1.7.port.5.s.31.alias=
unit.1.7.port.5.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.31.name=RX_FIFO_DOUT[31]
unit.1.7.port.5.s.31.orderindex=-1
unit.1.7.port.5.s.31.visible=1
unit.1.7.port.5.s.32.alias=
unit.1.7.port.5.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.32.name=RX_FIFO_DOUT[32]
unit.1.7.port.5.s.32.orderindex=-1
unit.1.7.port.5.s.32.visible=1
unit.1.7.port.5.s.33.alias=
unit.1.7.port.5.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.33.name=RX_FIFO_DOUT[33]
unit.1.7.port.5.s.33.orderindex=-1
unit.1.7.port.5.s.33.visible=1
unit.1.7.port.5.s.34.alias=
unit.1.7.port.5.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.34.name=RX_FIFO_DOUT[34]
unit.1.7.port.5.s.34.orderindex=-1
unit.1.7.port.5.s.34.visible=1
unit.1.7.port.5.s.35.alias=
unit.1.7.port.5.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.35.name=RX_FIFO_DOUT[35]
unit.1.7.port.5.s.35.orderindex=-1
unit.1.7.port.5.s.35.visible=1
unit.1.7.port.5.s.36.alias=
unit.1.7.port.5.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.36.name=RX_FIFO_DOUT[36]
unit.1.7.port.5.s.36.orderindex=-1
unit.1.7.port.5.s.36.visible=1
unit.1.7.port.5.s.37.alias=
unit.1.7.port.5.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.37.name=RX_FIFO_DOUT[37]
unit.1.7.port.5.s.37.orderindex=-1
unit.1.7.port.5.s.37.visible=1
unit.1.7.port.5.s.38.alias=
unit.1.7.port.5.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.38.name=RX_FIFO_DOUT[38]
unit.1.7.port.5.s.38.orderindex=-1
unit.1.7.port.5.s.38.visible=1
unit.1.7.port.5.s.39.alias=
unit.1.7.port.5.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.39.name=RX_FIFO_DOUT[39]
unit.1.7.port.5.s.39.orderindex=-1
unit.1.7.port.5.s.39.visible=1
unit.1.7.port.5.s.4.alias=
unit.1.7.port.5.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.4.name=RX_FIFO_DOUT[4]
unit.1.7.port.5.s.4.orderindex=-1
unit.1.7.port.5.s.4.visible=1
unit.1.7.port.5.s.40.alias=
unit.1.7.port.5.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.40.name=RX_FIFO_DOUT[40]
unit.1.7.port.5.s.40.orderindex=-1
unit.1.7.port.5.s.40.visible=1
unit.1.7.port.5.s.41.alias=
unit.1.7.port.5.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.41.name=RX_FIFO_DOUT[41]
unit.1.7.port.5.s.41.orderindex=-1
unit.1.7.port.5.s.41.visible=1
unit.1.7.port.5.s.42.alias=
unit.1.7.port.5.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.42.name=RX_FIFO_DOUT[42]
unit.1.7.port.5.s.42.orderindex=-1
unit.1.7.port.5.s.42.visible=1
unit.1.7.port.5.s.43.alias=
unit.1.7.port.5.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.43.name=RX_FIFO_DOUT[43]
unit.1.7.port.5.s.43.orderindex=-1
unit.1.7.port.5.s.43.visible=1
unit.1.7.port.5.s.44.alias=
unit.1.7.port.5.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.44.name=RX_FIFO_DOUT[44]
unit.1.7.port.5.s.44.orderindex=-1
unit.1.7.port.5.s.44.visible=1
unit.1.7.port.5.s.45.alias=
unit.1.7.port.5.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.45.name=RX_FIFO_DOUT[45]
unit.1.7.port.5.s.45.orderindex=-1
unit.1.7.port.5.s.45.visible=1
unit.1.7.port.5.s.46.alias=
unit.1.7.port.5.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.46.name=RX_FIFO_DOUT[46]
unit.1.7.port.5.s.46.orderindex=-1
unit.1.7.port.5.s.46.visible=1
unit.1.7.port.5.s.47.alias=
unit.1.7.port.5.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.47.name=RX_FIFO_DOUT[47]
unit.1.7.port.5.s.47.orderindex=-1
unit.1.7.port.5.s.47.visible=1
unit.1.7.port.5.s.48.alias=
unit.1.7.port.5.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.48.name=RX_FIFO_DOUT[48]
unit.1.7.port.5.s.48.orderindex=-1
unit.1.7.port.5.s.48.visible=1
unit.1.7.port.5.s.49.alias=
unit.1.7.port.5.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.49.name=RX_FIFO_DOUT[49]
unit.1.7.port.5.s.49.orderindex=-1
unit.1.7.port.5.s.49.visible=1
unit.1.7.port.5.s.5.alias=
unit.1.7.port.5.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.5.name=RX_FIFO_DOUT[5]
unit.1.7.port.5.s.5.orderindex=-1
unit.1.7.port.5.s.5.visible=1
unit.1.7.port.5.s.50.alias=
unit.1.7.port.5.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.50.name=RX_FIFO_DOUT[50]
unit.1.7.port.5.s.50.orderindex=-1
unit.1.7.port.5.s.50.visible=1
unit.1.7.port.5.s.51.alias=
unit.1.7.port.5.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.51.name=RX_FIFO_DOUT[51]
unit.1.7.port.5.s.51.orderindex=-1
unit.1.7.port.5.s.51.visible=1
unit.1.7.port.5.s.52.alias=
unit.1.7.port.5.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.52.name=RX_FIFO_DOUT[52]
unit.1.7.port.5.s.52.orderindex=-1
unit.1.7.port.5.s.52.visible=1
unit.1.7.port.5.s.53.alias=
unit.1.7.port.5.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.53.name=RX_FIFO_DOUT[53]
unit.1.7.port.5.s.53.orderindex=-1
unit.1.7.port.5.s.53.visible=1
unit.1.7.port.5.s.54.alias=
unit.1.7.port.5.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.54.name=RX_FIFO_DOUT[54]
unit.1.7.port.5.s.54.orderindex=-1
unit.1.7.port.5.s.54.visible=1
unit.1.7.port.5.s.55.alias=
unit.1.7.port.5.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.55.name=RX_FIFO_DOUT[55]
unit.1.7.port.5.s.55.orderindex=-1
unit.1.7.port.5.s.55.visible=1
unit.1.7.port.5.s.56.alias=
unit.1.7.port.5.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.56.name=RX_FIFO_DOUT[56]
unit.1.7.port.5.s.56.orderindex=-1
unit.1.7.port.5.s.56.visible=1
unit.1.7.port.5.s.57.alias=
unit.1.7.port.5.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.57.name=RX_FIFO_DOUT[57]
unit.1.7.port.5.s.57.orderindex=-1
unit.1.7.port.5.s.57.visible=1
unit.1.7.port.5.s.58.alias=
unit.1.7.port.5.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.58.name=RX_FIFO_DOUT[58]
unit.1.7.port.5.s.58.orderindex=-1
unit.1.7.port.5.s.58.visible=1
unit.1.7.port.5.s.59.alias=
unit.1.7.port.5.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.59.name=RX_FIFO_DOUT[59]
unit.1.7.port.5.s.59.orderindex=-1
unit.1.7.port.5.s.59.visible=1
unit.1.7.port.5.s.6.alias=
unit.1.7.port.5.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.6.name=RX_FIFO_DOUT[6]
unit.1.7.port.5.s.6.orderindex=-1
unit.1.7.port.5.s.6.visible=1
unit.1.7.port.5.s.60.alias=
unit.1.7.port.5.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.60.name=RX_FIFO_DOUT[60]
unit.1.7.port.5.s.60.orderindex=-1
unit.1.7.port.5.s.60.visible=1
unit.1.7.port.5.s.61.alias=
unit.1.7.port.5.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.61.name=RX_FIFO_DOUT[61]
unit.1.7.port.5.s.61.orderindex=-1
unit.1.7.port.5.s.61.visible=1
unit.1.7.port.5.s.62.alias=
unit.1.7.port.5.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.62.name=RX_FIFO_DOUT[62]
unit.1.7.port.5.s.62.orderindex=-1
unit.1.7.port.5.s.62.visible=1
unit.1.7.port.5.s.63.alias=
unit.1.7.port.5.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.63.name=RX_FIFO_DOUT[63]
unit.1.7.port.5.s.63.orderindex=-1
unit.1.7.port.5.s.63.visible=1
unit.1.7.port.5.s.7.alias=
unit.1.7.port.5.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.7.name=RX_FIFO_DOUT[7]
unit.1.7.port.5.s.7.orderindex=-1
unit.1.7.port.5.s.7.visible=1
unit.1.7.port.5.s.8.alias=
unit.1.7.port.5.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.8.name=RX_FIFO_DOUT[8]
unit.1.7.port.5.s.8.orderindex=-1
unit.1.7.port.5.s.8.visible=1
unit.1.7.port.5.s.9.alias=
unit.1.7.port.5.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.5.s.9.name=RX_FIFO_DOUT[9]
unit.1.7.port.5.s.9.orderindex=-1
unit.1.7.port.5.s.9.visible=1
unit.1.7.port.6.b.0.alias=
unit.1.7.port.6.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63
unit.1.7.port.6.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.b.0.name=TX_FIFO_DIN
unit.1.7.port.6.b.0.orderindex=-1
unit.1.7.port.6.b.0.radix=Hex
unit.1.7.port.6.b.0.signedOffset=0.0
unit.1.7.port.6.b.0.signedPrecision=0
unit.1.7.port.6.b.0.signedScaleFactor=1.0
unit.1.7.port.6.b.0.unsignedOffset=0.0
unit.1.7.port.6.b.0.unsignedPrecision=0
unit.1.7.port.6.b.0.unsignedScaleFactor=1.0
unit.1.7.port.6.b.0.visible=1
unit.1.7.port.6.buscount=1
unit.1.7.port.6.channelcount=64
unit.1.7.port.6.s.0.alias=
unit.1.7.port.6.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.0.name=TX_FIFO_DIN[0]
unit.1.7.port.6.s.0.orderindex=-1
unit.1.7.port.6.s.0.visible=1
unit.1.7.port.6.s.1.alias=
unit.1.7.port.6.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.1.name=TX_FIFO_DIN[1]
unit.1.7.port.6.s.1.orderindex=-1
unit.1.7.port.6.s.1.visible=1
unit.1.7.port.6.s.10.alias=
unit.1.7.port.6.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.10.name=TX_FIFO_DIN[10]
unit.1.7.port.6.s.10.orderindex=-1
unit.1.7.port.6.s.10.visible=1
unit.1.7.port.6.s.11.alias=
unit.1.7.port.6.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.11.name=TX_FIFO_DIN[11]
unit.1.7.port.6.s.11.orderindex=-1
unit.1.7.port.6.s.11.visible=1
unit.1.7.port.6.s.12.alias=
unit.1.7.port.6.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.12.name=TX_FIFO_DIN[12]
unit.1.7.port.6.s.12.orderindex=-1
unit.1.7.port.6.s.12.visible=1
unit.1.7.port.6.s.13.alias=
unit.1.7.port.6.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.13.name=TX_FIFO_DIN[13]
unit.1.7.port.6.s.13.orderindex=-1
unit.1.7.port.6.s.13.visible=1
unit.1.7.port.6.s.14.alias=
unit.1.7.port.6.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.14.name=TX_FIFO_DIN[14]
unit.1.7.port.6.s.14.orderindex=-1
unit.1.7.port.6.s.14.visible=1
unit.1.7.port.6.s.15.alias=
unit.1.7.port.6.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.15.name=TX_FIFO_DIN[15]
unit.1.7.port.6.s.15.orderindex=-1
unit.1.7.port.6.s.15.visible=1
unit.1.7.port.6.s.16.alias=
unit.1.7.port.6.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.16.name=TX_FIFO_DIN[16]
unit.1.7.port.6.s.16.orderindex=-1
unit.1.7.port.6.s.16.visible=1
unit.1.7.port.6.s.17.alias=
unit.1.7.port.6.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.17.name=TX_FIFO_DIN[17]
unit.1.7.port.6.s.17.orderindex=-1
unit.1.7.port.6.s.17.visible=1
unit.1.7.port.6.s.18.alias=
unit.1.7.port.6.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.18.name=TX_FIFO_DIN[18]
unit.1.7.port.6.s.18.orderindex=-1
unit.1.7.port.6.s.18.visible=1
unit.1.7.port.6.s.19.alias=
unit.1.7.port.6.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.19.name=TX_FIFO_DIN[19]
unit.1.7.port.6.s.19.orderindex=-1
unit.1.7.port.6.s.19.visible=1
unit.1.7.port.6.s.2.alias=
unit.1.7.port.6.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.2.name=TX_FIFO_DIN[2]
unit.1.7.port.6.s.2.orderindex=-1
unit.1.7.port.6.s.2.visible=1
unit.1.7.port.6.s.20.alias=
unit.1.7.port.6.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.20.name=TX_FIFO_DIN[20]
unit.1.7.port.6.s.20.orderindex=-1
unit.1.7.port.6.s.20.visible=1
unit.1.7.port.6.s.21.alias=
unit.1.7.port.6.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.21.name=TX_FIFO_DIN[21]
unit.1.7.port.6.s.21.orderindex=-1
unit.1.7.port.6.s.21.visible=1
unit.1.7.port.6.s.22.alias=
unit.1.7.port.6.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.22.name=TX_FIFO_DIN[22]
unit.1.7.port.6.s.22.orderindex=-1
unit.1.7.port.6.s.22.visible=1
unit.1.7.port.6.s.23.alias=
unit.1.7.port.6.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.23.name=TX_FIFO_DIN[23]
unit.1.7.port.6.s.23.orderindex=-1
unit.1.7.port.6.s.23.visible=1
unit.1.7.port.6.s.24.alias=
unit.1.7.port.6.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.24.name=TX_FIFO_DIN[24]
unit.1.7.port.6.s.24.orderindex=-1
unit.1.7.port.6.s.24.visible=1
unit.1.7.port.6.s.25.alias=
unit.1.7.port.6.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.25.name=TX_FIFO_DIN[25]
unit.1.7.port.6.s.25.orderindex=-1
unit.1.7.port.6.s.25.visible=1
unit.1.7.port.6.s.26.alias=
unit.1.7.port.6.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.26.name=TX_FIFO_DIN[26]
unit.1.7.port.6.s.26.orderindex=-1
unit.1.7.port.6.s.26.visible=1
unit.1.7.port.6.s.27.alias=
unit.1.7.port.6.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.27.name=TX_FIFO_DIN[27]
unit.1.7.port.6.s.27.orderindex=-1
unit.1.7.port.6.s.27.visible=1
unit.1.7.port.6.s.28.alias=
unit.1.7.port.6.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.28.name=TX_FIFO_DIN[28]
unit.1.7.port.6.s.28.orderindex=-1
unit.1.7.port.6.s.28.visible=1
unit.1.7.port.6.s.29.alias=
unit.1.7.port.6.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.29.name=TX_FIFO_DIN[29]
unit.1.7.port.6.s.29.orderindex=-1
unit.1.7.port.6.s.29.visible=1
unit.1.7.port.6.s.3.alias=
unit.1.7.port.6.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.3.name=TX_FIFO_DIN[3]
unit.1.7.port.6.s.3.orderindex=-1
unit.1.7.port.6.s.3.visible=1
unit.1.7.port.6.s.30.alias=
unit.1.7.port.6.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.30.name=TX_FIFO_DIN[30]
unit.1.7.port.6.s.30.orderindex=-1
unit.1.7.port.6.s.30.visible=1
unit.1.7.port.6.s.31.alias=
unit.1.7.port.6.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.31.name=TX_FIFO_DIN[31]
unit.1.7.port.6.s.31.orderindex=-1
unit.1.7.port.6.s.31.visible=1
unit.1.7.port.6.s.32.alias=
unit.1.7.port.6.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.32.name=TX_FIFO_DIN[32]
unit.1.7.port.6.s.32.orderindex=-1
unit.1.7.port.6.s.32.visible=1
unit.1.7.port.6.s.33.alias=
unit.1.7.port.6.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.33.name=TX_FIFO_DIN[33]
unit.1.7.port.6.s.33.orderindex=-1
unit.1.7.port.6.s.33.visible=1
unit.1.7.port.6.s.34.alias=
unit.1.7.port.6.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.34.name=TX_FIFO_DIN[34]
unit.1.7.port.6.s.34.orderindex=-1
unit.1.7.port.6.s.34.visible=1
unit.1.7.port.6.s.35.alias=
unit.1.7.port.6.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.35.name=TX_FIFO_DIN[35]
unit.1.7.port.6.s.35.orderindex=-1
unit.1.7.port.6.s.35.visible=1
unit.1.7.port.6.s.36.alias=
unit.1.7.port.6.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.36.name=TX_FIFO_DIN[36]
unit.1.7.port.6.s.36.orderindex=-1
unit.1.7.port.6.s.36.visible=1
unit.1.7.port.6.s.37.alias=
unit.1.7.port.6.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.37.name=TX_FIFO_DIN[37]
unit.1.7.port.6.s.37.orderindex=-1
unit.1.7.port.6.s.37.visible=1
unit.1.7.port.6.s.38.alias=
unit.1.7.port.6.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.38.name=TX_FIFO_DIN[38]
unit.1.7.port.6.s.38.orderindex=-1
unit.1.7.port.6.s.38.visible=1
unit.1.7.port.6.s.39.alias=
unit.1.7.port.6.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.39.name=TX_FIFO_DIN[39]
unit.1.7.port.6.s.39.orderindex=-1
unit.1.7.port.6.s.39.visible=1
unit.1.7.port.6.s.4.alias=
unit.1.7.port.6.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.4.name=TX_FIFO_DIN[4]
unit.1.7.port.6.s.4.orderindex=-1
unit.1.7.port.6.s.4.visible=1
unit.1.7.port.6.s.40.alias=
unit.1.7.port.6.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.40.name=TX_FIFO_DIN[40]
unit.1.7.port.6.s.40.orderindex=-1
unit.1.7.port.6.s.40.visible=1
unit.1.7.port.6.s.41.alias=
unit.1.7.port.6.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.41.name=TX_FIFO_DIN[41]
unit.1.7.port.6.s.41.orderindex=-1
unit.1.7.port.6.s.41.visible=1
unit.1.7.port.6.s.42.alias=
unit.1.7.port.6.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.42.name=TX_FIFO_DIN[42]
unit.1.7.port.6.s.42.orderindex=-1
unit.1.7.port.6.s.42.visible=1
unit.1.7.port.6.s.43.alias=
unit.1.7.port.6.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.43.name=TX_FIFO_DIN[43]
unit.1.7.port.6.s.43.orderindex=-1
unit.1.7.port.6.s.43.visible=1
unit.1.7.port.6.s.44.alias=
unit.1.7.port.6.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.44.name=TX_FIFO_DIN[44]
unit.1.7.port.6.s.44.orderindex=-1
unit.1.7.port.6.s.44.visible=1
unit.1.7.port.6.s.45.alias=
unit.1.7.port.6.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.45.name=TX_FIFO_DIN[45]
unit.1.7.port.6.s.45.orderindex=-1
unit.1.7.port.6.s.45.visible=1
unit.1.7.port.6.s.46.alias=
unit.1.7.port.6.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.46.name=TX_FIFO_DIN[46]
unit.1.7.port.6.s.46.orderindex=-1
unit.1.7.port.6.s.46.visible=1
unit.1.7.port.6.s.47.alias=
unit.1.7.port.6.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.47.name=TX_FIFO_DIN[47]
unit.1.7.port.6.s.47.orderindex=-1
unit.1.7.port.6.s.47.visible=1
unit.1.7.port.6.s.48.alias=
unit.1.7.port.6.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.48.name=TX_FIFO_DIN[48]
unit.1.7.port.6.s.48.orderindex=-1
unit.1.7.port.6.s.48.visible=1
unit.1.7.port.6.s.49.alias=
unit.1.7.port.6.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.49.name=TX_FIFO_DIN[49]
unit.1.7.port.6.s.49.orderindex=-1
unit.1.7.port.6.s.49.visible=1
unit.1.7.port.6.s.5.alias=
unit.1.7.port.6.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.5.name=TX_FIFO_DIN[5]
unit.1.7.port.6.s.5.orderindex=-1
unit.1.7.port.6.s.5.visible=1
unit.1.7.port.6.s.50.alias=
unit.1.7.port.6.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.50.name=TX_FIFO_DIN[50]
unit.1.7.port.6.s.50.orderindex=-1
unit.1.7.port.6.s.50.visible=1
unit.1.7.port.6.s.51.alias=
unit.1.7.port.6.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.51.name=TX_FIFO_DIN[51]
unit.1.7.port.6.s.51.orderindex=-1
unit.1.7.port.6.s.51.visible=1
unit.1.7.port.6.s.52.alias=
unit.1.7.port.6.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.52.name=TX_FIFO_DIN[52]
unit.1.7.port.6.s.52.orderindex=-1
unit.1.7.port.6.s.52.visible=1
unit.1.7.port.6.s.53.alias=
unit.1.7.port.6.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.53.name=TX_FIFO_DIN[53]
unit.1.7.port.6.s.53.orderindex=-1
unit.1.7.port.6.s.53.visible=1
unit.1.7.port.6.s.54.alias=
unit.1.7.port.6.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.54.name=TX_FIFO_DIN[54]
unit.1.7.port.6.s.54.orderindex=-1
unit.1.7.port.6.s.54.visible=1
unit.1.7.port.6.s.55.alias=
unit.1.7.port.6.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.55.name=TX_FIFO_DIN[55]
unit.1.7.port.6.s.55.orderindex=-1
unit.1.7.port.6.s.55.visible=1
unit.1.7.port.6.s.56.alias=
unit.1.7.port.6.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.56.name=TX_FIFO_DIN[56]
unit.1.7.port.6.s.56.orderindex=-1
unit.1.7.port.6.s.56.visible=1
unit.1.7.port.6.s.57.alias=
unit.1.7.port.6.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.57.name=TX_FIFO_DIN[57]
unit.1.7.port.6.s.57.orderindex=-1
unit.1.7.port.6.s.57.visible=1
unit.1.7.port.6.s.58.alias=
unit.1.7.port.6.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.58.name=TX_FIFO_DIN[58]
unit.1.7.port.6.s.58.orderindex=-1
unit.1.7.port.6.s.58.visible=1
unit.1.7.port.6.s.59.alias=
unit.1.7.port.6.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.59.name=TX_FIFO_DIN[59]
unit.1.7.port.6.s.59.orderindex=-1
unit.1.7.port.6.s.59.visible=1
unit.1.7.port.6.s.6.alias=
unit.1.7.port.6.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.6.name=TX_FIFO_DIN[6]
unit.1.7.port.6.s.6.orderindex=-1
unit.1.7.port.6.s.6.visible=1
unit.1.7.port.6.s.60.alias=
unit.1.7.port.6.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.60.name=TX_FIFO_DIN[60]
unit.1.7.port.6.s.60.orderindex=-1
unit.1.7.port.6.s.60.visible=1
unit.1.7.port.6.s.61.alias=
unit.1.7.port.6.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.61.name=TX_FIFO_DIN[61]
unit.1.7.port.6.s.61.orderindex=-1
unit.1.7.port.6.s.61.visible=1
unit.1.7.port.6.s.62.alias=
unit.1.7.port.6.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.62.name=TX_FIFO_DIN[62]
unit.1.7.port.6.s.62.orderindex=-1
unit.1.7.port.6.s.62.visible=1
unit.1.7.port.6.s.63.alias=
unit.1.7.port.6.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.63.name=TX_FIFO_DIN[63]
unit.1.7.port.6.s.63.orderindex=-1
unit.1.7.port.6.s.63.visible=1
unit.1.7.port.6.s.7.alias=
unit.1.7.port.6.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.7.name=TX_FIFO_DIN[7]
unit.1.7.port.6.s.7.orderindex=-1
unit.1.7.port.6.s.7.visible=1
unit.1.7.port.6.s.8.alias=
unit.1.7.port.6.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.8.name=TX_FIFO_DIN[8]
unit.1.7.port.6.s.8.orderindex=-1
unit.1.7.port.6.s.8.visible=1
unit.1.7.port.6.s.9.alias=
unit.1.7.port.6.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.6.s.9.name=TX_FIFO_DIN[9]
unit.1.7.port.6.s.9.orderindex=-1
unit.1.7.port.6.s.9.visible=1
unit.1.7.port.7.b.0.alias=
unit.1.7.port.7.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.7.port.7.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.b.0.name=NUM_RD_BYTES
unit.1.7.port.7.b.0.orderindex=-1
unit.1.7.port.7.b.0.radix=Hex
unit.1.7.port.7.b.0.signedOffset=0.0
unit.1.7.port.7.b.0.signedPrecision=0
unit.1.7.port.7.b.0.signedScaleFactor=1.0
unit.1.7.port.7.b.0.unsignedOffset=0.0
unit.1.7.port.7.b.0.unsignedPrecision=0
unit.1.7.port.7.b.0.unsignedScaleFactor=1.0
unit.1.7.port.7.b.0.visible=1
unit.1.7.port.7.buscount=1
unit.1.7.port.7.channelcount=32
unit.1.7.port.7.s.0.alias=
unit.1.7.port.7.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.0.name=NUM_RD_BYTES[0]
unit.1.7.port.7.s.0.orderindex=-1
unit.1.7.port.7.s.0.visible=1
unit.1.7.port.7.s.1.alias=
unit.1.7.port.7.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.1.name=NUM_RD_BYTES[1]
unit.1.7.port.7.s.1.orderindex=-1
unit.1.7.port.7.s.1.visible=1
unit.1.7.port.7.s.10.alias=
unit.1.7.port.7.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.10.name=NUM_RD_BYTES[10]
unit.1.7.port.7.s.10.orderindex=-1
unit.1.7.port.7.s.10.visible=1
unit.1.7.port.7.s.11.alias=
unit.1.7.port.7.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.11.name=NUM_RD_BYTES[11]
unit.1.7.port.7.s.11.orderindex=-1
unit.1.7.port.7.s.11.visible=1
unit.1.7.port.7.s.12.alias=
unit.1.7.port.7.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.12.name=NUM_RD_BYTES[12]
unit.1.7.port.7.s.12.orderindex=-1
unit.1.7.port.7.s.12.visible=1
unit.1.7.port.7.s.13.alias=
unit.1.7.port.7.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.13.name=NUM_RD_BYTES[13]
unit.1.7.port.7.s.13.orderindex=-1
unit.1.7.port.7.s.13.visible=1
unit.1.7.port.7.s.14.alias=
unit.1.7.port.7.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.14.name=NUM_RD_BYTES[14]
unit.1.7.port.7.s.14.orderindex=-1
unit.1.7.port.7.s.14.visible=1
unit.1.7.port.7.s.15.alias=
unit.1.7.port.7.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.15.name=NUM_RD_BYTES[15]
unit.1.7.port.7.s.15.orderindex=-1
unit.1.7.port.7.s.15.visible=1
unit.1.7.port.7.s.16.alias=
unit.1.7.port.7.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.16.name=NUM_RD_BYTES[16]
unit.1.7.port.7.s.16.orderindex=-1
unit.1.7.port.7.s.16.visible=1
unit.1.7.port.7.s.17.alias=
unit.1.7.port.7.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.17.name=NUM_RD_BYTES[17]
unit.1.7.port.7.s.17.orderindex=-1
unit.1.7.port.7.s.17.visible=1
unit.1.7.port.7.s.18.alias=
unit.1.7.port.7.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.18.name=NUM_RD_BYTES[18]
unit.1.7.port.7.s.18.orderindex=-1
unit.1.7.port.7.s.18.visible=1
unit.1.7.port.7.s.19.alias=
unit.1.7.port.7.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.19.name=NUM_RD_BYTES[19]
unit.1.7.port.7.s.19.orderindex=-1
unit.1.7.port.7.s.19.visible=1
unit.1.7.port.7.s.2.alias=
unit.1.7.port.7.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.2.name=NUM_RD_BYTES[2]
unit.1.7.port.7.s.2.orderindex=-1
unit.1.7.port.7.s.2.visible=1
unit.1.7.port.7.s.20.alias=
unit.1.7.port.7.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.20.name=NUM_RD_BYTES[20]
unit.1.7.port.7.s.20.orderindex=-1
unit.1.7.port.7.s.20.visible=1
unit.1.7.port.7.s.21.alias=
unit.1.7.port.7.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.21.name=NUM_RD_BYTES[21]
unit.1.7.port.7.s.21.orderindex=-1
unit.1.7.port.7.s.21.visible=1
unit.1.7.port.7.s.22.alias=
unit.1.7.port.7.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.22.name=NUM_RD_BYTES[22]
unit.1.7.port.7.s.22.orderindex=-1
unit.1.7.port.7.s.22.visible=1
unit.1.7.port.7.s.23.alias=
unit.1.7.port.7.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.23.name=NUM_RD_BYTES[23]
unit.1.7.port.7.s.23.orderindex=-1
unit.1.7.port.7.s.23.visible=1
unit.1.7.port.7.s.24.alias=
unit.1.7.port.7.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.24.name=NUM_RD_BYTES[24]
unit.1.7.port.7.s.24.orderindex=-1
unit.1.7.port.7.s.24.visible=1
unit.1.7.port.7.s.25.alias=
unit.1.7.port.7.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.25.name=NUM_RD_BYTES[25]
unit.1.7.port.7.s.25.orderindex=-1
unit.1.7.port.7.s.25.visible=1
unit.1.7.port.7.s.26.alias=
unit.1.7.port.7.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.26.name=NUM_RD_BYTES[26]
unit.1.7.port.7.s.26.orderindex=-1
unit.1.7.port.7.s.26.visible=1
unit.1.7.port.7.s.27.alias=
unit.1.7.port.7.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.27.name=NUM_RD_BYTES[27]
unit.1.7.port.7.s.27.orderindex=-1
unit.1.7.port.7.s.27.visible=1
unit.1.7.port.7.s.28.alias=
unit.1.7.port.7.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.28.name=NUM_RD_BYTES[28]
unit.1.7.port.7.s.28.orderindex=-1
unit.1.7.port.7.s.28.visible=1
unit.1.7.port.7.s.29.alias=
unit.1.7.port.7.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.29.name=NUM_RD_BYTES[29]
unit.1.7.port.7.s.29.orderindex=-1
unit.1.7.port.7.s.29.visible=1
unit.1.7.port.7.s.3.alias=
unit.1.7.port.7.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.3.name=NUM_RD_BYTES[3]
unit.1.7.port.7.s.3.orderindex=-1
unit.1.7.port.7.s.3.visible=1
unit.1.7.port.7.s.30.alias=
unit.1.7.port.7.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.30.name=NUM_RD_BYTES[30]
unit.1.7.port.7.s.30.orderindex=-1
unit.1.7.port.7.s.30.visible=1
unit.1.7.port.7.s.31.alias=
unit.1.7.port.7.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.31.name=NUM_RD_BYTES[31]
unit.1.7.port.7.s.31.orderindex=-1
unit.1.7.port.7.s.31.visible=1
unit.1.7.port.7.s.4.alias=
unit.1.7.port.7.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.4.name=NUM_RD_BYTES[4]
unit.1.7.port.7.s.4.orderindex=-1
unit.1.7.port.7.s.4.visible=1
unit.1.7.port.7.s.5.alias=
unit.1.7.port.7.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.5.name=NUM_RD_BYTES[5]
unit.1.7.port.7.s.5.orderindex=-1
unit.1.7.port.7.s.5.visible=1
unit.1.7.port.7.s.6.alias=
unit.1.7.port.7.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.6.name=NUM_RD_BYTES[6]
unit.1.7.port.7.s.6.orderindex=-1
unit.1.7.port.7.s.6.visible=1
unit.1.7.port.7.s.7.alias=
unit.1.7.port.7.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.7.name=NUM_RD_BYTES[7]
unit.1.7.port.7.s.7.orderindex=-1
unit.1.7.port.7.s.7.visible=1
unit.1.7.port.7.s.8.alias=
unit.1.7.port.7.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.8.name=NUM_RD_BYTES[8]
unit.1.7.port.7.s.8.orderindex=-1
unit.1.7.port.7.s.8.visible=1
unit.1.7.port.7.s.9.alias=
unit.1.7.port.7.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.7.port.7.s.9.name=NUM_RD_BYTES[9]
unit.1.7.port.7.s.9.orderindex=-1
unit.1.7.port.7.s.9.visible=1
unit.1.7.portcount=8
unit.1.7.rep_trigger.clobber=1
unit.1.7.rep_trigger.dir=/home/aamendon/reports/phdproposals/aamendon/code/LANL/SATA_NCQ/base_NPI/ChipScope
unit.1.7.rep_trigger.filename=waveform
unit.1.7.rep_trigger.format=ASCII
unit.1.7.rep_trigger.loggingEnabled=0
unit.1.7.rep_trigger.signals=All Signals/Buses
unit.1.7.samplesPerTrigger=1
unit.1.7.triggerCapture=1
unit.1.7.triggerNSamplesTS=0
unit.1.7.triggerPosition=700
unit.1.7.triggerWindowCount=1
unit.1.7.triggerWindowDepth=1024
unit.1.7.triggerWindowTS=0
unit.1.7.username=NPI_IF_ILA
unit.1.7.waveform.count=29
unit.1.7.waveform.posn.0.channel=2147483646
unit.1.7.waveform.posn.0.name=NUM_RD_BYTES
unit.1.7.waveform.posn.0.radix=4
unit.1.7.waveform.posn.0.type=bus
unit.1.7.waveform.posn.1.channel=2147483646
unit.1.7.waveform.posn.1.name=TX_FIFO_DIN
unit.1.7.waveform.posn.1.radix=1
unit.1.7.waveform.posn.1.type=bus
unit.1.7.waveform.posn.10.channel=102
unit.1.7.waveform.posn.10.name=DATA_TO_MEM_RE
unit.1.7.waveform.posn.10.type=signal
unit.1.7.waveform.posn.100.channel=283
unit.1.7.waveform.posn.100.name=DataPort[283]
unit.1.7.waveform.posn.100.type=signal
unit.1.7.waveform.posn.101.channel=283
unit.1.7.waveform.posn.101.name=DataPort[283]
unit.1.7.waveform.posn.101.type=signal
unit.1.7.waveform.posn.102.channel=283
unit.1.7.waveform.posn.102.name=DataPort[283]
unit.1.7.waveform.posn.102.type=signal
unit.1.7.waveform.posn.103.channel=283
unit.1.7.waveform.posn.103.name=DataPort[283]
unit.1.7.waveform.posn.103.type=signal
unit.1.7.waveform.posn.104.channel=283
unit.1.7.waveform.posn.104.name=DataPort[283]
unit.1.7.waveform.posn.104.type=signal
unit.1.7.waveform.posn.105.channel=283
unit.1.7.waveform.posn.105.name=DataPort[283]
unit.1.7.waveform.posn.105.type=signal
unit.1.7.waveform.posn.106.channel=283
unit.1.7.waveform.posn.106.name=DataPort[283]
unit.1.7.waveform.posn.106.type=signal
unit.1.7.waveform.posn.107.channel=283
unit.1.7.waveform.posn.107.name=DataPort[283]
unit.1.7.waveform.posn.107.type=signal
unit.1.7.waveform.posn.108.channel=283
unit.1.7.waveform.posn.108.name=DataPort[283]
unit.1.7.waveform.posn.108.type=signal
unit.1.7.waveform.posn.109.channel=283
unit.1.7.waveform.posn.109.name=DataPort[283]
unit.1.7.waveform.posn.109.type=signal
unit.1.7.waveform.posn.11.channel=103
unit.1.7.waveform.posn.11.name=RD_REQ_START
unit.1.7.waveform.posn.11.type=signal
unit.1.7.waveform.posn.110.channel=283
unit.1.7.waveform.posn.110.name=DataPort[283]
unit.1.7.waveform.posn.110.type=signal
unit.1.7.waveform.posn.111.channel=283
unit.1.7.waveform.posn.111.name=DataPort[283]
unit.1.7.waveform.posn.111.type=signal
unit.1.7.waveform.posn.112.channel=283
unit.1.7.waveform.posn.112.name=DataPort[283]
unit.1.7.waveform.posn.112.type=signal
unit.1.7.waveform.posn.113.channel=283
unit.1.7.waveform.posn.113.name=DataPort[283]
unit.1.7.waveform.posn.113.type=signal
unit.1.7.waveform.posn.114.channel=283
unit.1.7.waveform.posn.114.name=DataPort[283]
unit.1.7.waveform.posn.114.type=signal
unit.1.7.waveform.posn.115.channel=283
unit.1.7.waveform.posn.115.name=DataPort[283]
unit.1.7.waveform.posn.115.type=signal
unit.1.7.waveform.posn.116.channel=283
unit.1.7.waveform.posn.116.name=DataPort[283]
unit.1.7.waveform.posn.116.type=signal
unit.1.7.waveform.posn.117.channel=283
unit.1.7.waveform.posn.117.name=DataPort[283]
unit.1.7.waveform.posn.117.type=signal
unit.1.7.waveform.posn.118.channel=283
unit.1.7.waveform.posn.118.name=DataPort[283]
unit.1.7.waveform.posn.118.type=signal
unit.1.7.waveform.posn.119.channel=283
unit.1.7.waveform.posn.119.name=DataPort[283]
unit.1.7.waveform.posn.119.type=signal
unit.1.7.waveform.posn.12.channel=104
unit.1.7.waveform.posn.12.name=WR_REQ_START
unit.1.7.waveform.posn.12.type=signal
unit.1.7.waveform.posn.120.channel=283
unit.1.7.waveform.posn.120.name=DataPort[283]
unit.1.7.waveform.posn.120.type=signal
unit.1.7.waveform.posn.121.channel=283
unit.1.7.waveform.posn.121.name=DataPort[283]
unit.1.7.waveform.posn.121.type=signal
unit.1.7.waveform.posn.122.channel=283
unit.1.7.waveform.posn.122.name=DataPort[283]
unit.1.7.waveform.posn.122.type=signal
unit.1.7.waveform.posn.123.channel=283
unit.1.7.waveform.posn.123.name=DataPort[283]
unit.1.7.waveform.posn.123.type=signal
unit.1.7.waveform.posn.124.channel=283
unit.1.7.waveform.posn.124.name=DataPort[283]
unit.1.7.waveform.posn.124.type=signal
unit.1.7.waveform.posn.125.channel=283
unit.1.7.waveform.posn.125.name=DataPort[283]
unit.1.7.waveform.posn.125.type=signal
unit.1.7.waveform.posn.126.channel=283
unit.1.7.waveform.posn.126.name=DataPort[283]
unit.1.7.waveform.posn.126.type=signal
unit.1.7.waveform.posn.127.channel=283
unit.1.7.waveform.posn.127.name=DataPort[283]
unit.1.7.waveform.posn.127.type=signal
unit.1.7.waveform.posn.128.channel=283
unit.1.7.waveform.posn.128.name=DataPort[283]
unit.1.7.waveform.posn.128.type=signal
unit.1.7.waveform.posn.129.channel=283
unit.1.7.waveform.posn.129.name=DataPort[283]
unit.1.7.waveform.posn.129.type=signal
unit.1.7.waveform.posn.13.channel=105
unit.1.7.waveform.posn.13.name=RD_REQ_DONE
unit.1.7.waveform.posn.13.type=signal
unit.1.7.waveform.posn.130.channel=283
unit.1.7.waveform.posn.130.name=DataPort[283]
unit.1.7.waveform.posn.130.type=signal
unit.1.7.waveform.posn.131.channel=283
unit.1.7.waveform.posn.131.name=DataPort[283]
unit.1.7.waveform.posn.131.type=signal
unit.1.7.waveform.posn.132.channel=283
unit.1.7.waveform.posn.132.name=DataPort[283]
unit.1.7.waveform.posn.132.type=signal
unit.1.7.waveform.posn.133.channel=283
unit.1.7.waveform.posn.133.name=DataPort[283]
unit.1.7.waveform.posn.133.type=signal
unit.1.7.waveform.posn.134.channel=283
unit.1.7.waveform.posn.134.name=DataPort[283]
unit.1.7.waveform.posn.134.type=signal
unit.1.7.waveform.posn.135.channel=283
unit.1.7.waveform.posn.135.name=DataPort[283]
unit.1.7.waveform.posn.135.type=signal
unit.1.7.waveform.posn.136.channel=283
unit.1.7.waveform.posn.136.name=DataPort[283]
unit.1.7.waveform.posn.136.type=signal
unit.1.7.waveform.posn.137.channel=283
unit.1.7.waveform.posn.137.name=DataPort[283]
unit.1.7.waveform.posn.137.type=signal
unit.1.7.waveform.posn.138.channel=283
unit.1.7.waveform.posn.138.name=DataPort[283]
unit.1.7.waveform.posn.138.type=signal
unit.1.7.waveform.posn.139.channel=283
unit.1.7.waveform.posn.139.name=DataPort[283]
unit.1.7.waveform.posn.139.type=signal
unit.1.7.waveform.posn.14.channel=106
unit.1.7.waveform.posn.14.name=WR_REQ_DONE
unit.1.7.waveform.posn.14.type=signal
unit.1.7.waveform.posn.140.channel=283
unit.1.7.waveform.posn.140.name=DataPort[283]
unit.1.7.waveform.posn.140.type=signal
unit.1.7.waveform.posn.141.channel=283
unit.1.7.waveform.posn.141.name=DataPort[283]
unit.1.7.waveform.posn.141.type=signal
unit.1.7.waveform.posn.142.channel=283
unit.1.7.waveform.posn.142.name=DataPort[283]
unit.1.7.waveform.posn.142.type=signal
unit.1.7.waveform.posn.143.channel=283
unit.1.7.waveform.posn.143.name=DataPort[283]
unit.1.7.waveform.posn.143.type=signal
unit.1.7.waveform.posn.144.channel=283
unit.1.7.waveform.posn.144.name=DataPort[283]
unit.1.7.waveform.posn.144.type=signal
unit.1.7.waveform.posn.145.channel=283
unit.1.7.waveform.posn.145.name=DataPort[283]
unit.1.7.waveform.posn.145.type=signal
unit.1.7.waveform.posn.146.channel=283
unit.1.7.waveform.posn.146.name=DataPort[283]
unit.1.7.waveform.posn.146.type=signal
unit.1.7.waveform.posn.147.channel=283
unit.1.7.waveform.posn.147.name=DataPort[283]
unit.1.7.waveform.posn.147.type=signal
unit.1.7.waveform.posn.148.channel=283
unit.1.7.waveform.posn.148.name=DataPort[283]
unit.1.7.waveform.posn.148.type=signal
unit.1.7.waveform.posn.149.channel=283
unit.1.7.waveform.posn.149.name=DataPort[283]
unit.1.7.waveform.posn.149.type=signal
unit.1.7.waveform.posn.15.channel=108
unit.1.7.waveform.posn.15.name=TX_FIFO_RE
unit.1.7.waveform.posn.15.type=signal
unit.1.7.waveform.posn.150.channel=283
unit.1.7.waveform.posn.150.name=DataPort[283]
unit.1.7.waveform.posn.150.type=signal
unit.1.7.waveform.posn.151.channel=283
unit.1.7.waveform.posn.151.name=DataPort[283]
unit.1.7.waveform.posn.151.type=signal
unit.1.7.waveform.posn.152.channel=283
unit.1.7.waveform.posn.152.name=DataPort[283]
unit.1.7.waveform.posn.152.type=signal
unit.1.7.waveform.posn.153.channel=283
unit.1.7.waveform.posn.153.name=DataPort[283]
unit.1.7.waveform.posn.153.type=signal
unit.1.7.waveform.posn.154.channel=283
unit.1.7.waveform.posn.154.name=DataPort[283]
unit.1.7.waveform.posn.154.type=signal
unit.1.7.waveform.posn.155.channel=283
unit.1.7.waveform.posn.155.name=DataPort[283]
unit.1.7.waveform.posn.155.type=signal
unit.1.7.waveform.posn.156.channel=283
unit.1.7.waveform.posn.156.name=DataPort[283]
unit.1.7.waveform.posn.156.type=signal
unit.1.7.waveform.posn.157.channel=283
unit.1.7.waveform.posn.157.name=DataPort[283]
unit.1.7.waveform.posn.157.type=signal
unit.1.7.waveform.posn.158.channel=283
unit.1.7.waveform.posn.158.name=DataPort[283]
unit.1.7.waveform.posn.158.type=signal
unit.1.7.waveform.posn.159.channel=283
unit.1.7.waveform.posn.159.name=DataPort[283]
unit.1.7.waveform.posn.159.type=signal
unit.1.7.waveform.posn.16.channel=110
unit.1.7.waveform.posn.16.name=TX_FIFO_EMPTY
unit.1.7.waveform.posn.16.type=signal
unit.1.7.waveform.posn.160.channel=283
unit.1.7.waveform.posn.160.name=DataPort[283]
unit.1.7.waveform.posn.160.type=signal
unit.1.7.waveform.posn.161.channel=283
unit.1.7.waveform.posn.161.name=DataPort[283]
unit.1.7.waveform.posn.161.type=signal
unit.1.7.waveform.posn.162.channel=283
unit.1.7.waveform.posn.162.name=DataPort[283]
unit.1.7.waveform.posn.162.type=signal
unit.1.7.waveform.posn.163.channel=283
unit.1.7.waveform.posn.163.name=DataPort[283]
unit.1.7.waveform.posn.163.type=signal
unit.1.7.waveform.posn.164.channel=283
unit.1.7.waveform.posn.164.name=DataPort[283]
unit.1.7.waveform.posn.164.type=signal
unit.1.7.waveform.posn.165.channel=283
unit.1.7.waveform.posn.165.name=DataPort[283]
unit.1.7.waveform.posn.165.type=signal
unit.1.7.waveform.posn.166.channel=283
unit.1.7.waveform.posn.166.name=DataPort[283]
unit.1.7.waveform.posn.166.type=signal
unit.1.7.waveform.posn.167.channel=283
unit.1.7.waveform.posn.167.name=DataPort[283]
unit.1.7.waveform.posn.167.type=signal
unit.1.7.waveform.posn.168.channel=283
unit.1.7.waveform.posn.168.name=DataPort[283]
unit.1.7.waveform.posn.168.type=signal
unit.1.7.waveform.posn.169.channel=283
unit.1.7.waveform.posn.169.name=DataPort[283]
unit.1.7.waveform.posn.169.type=signal
unit.1.7.waveform.posn.17.channel=111
unit.1.7.waveform.posn.17.name=TX_FIFO_FULL
unit.1.7.waveform.posn.17.type=signal
unit.1.7.waveform.posn.170.channel=283
unit.1.7.waveform.posn.170.name=DataPort[283]
unit.1.7.waveform.posn.170.type=signal
unit.1.7.waveform.posn.171.channel=283
unit.1.7.waveform.posn.171.name=DataPort[283]
unit.1.7.waveform.posn.171.type=signal
unit.1.7.waveform.posn.172.channel=283
unit.1.7.waveform.posn.172.name=DataPort[283]
unit.1.7.waveform.posn.172.type=signal
unit.1.7.waveform.posn.173.channel=283
unit.1.7.waveform.posn.173.name=DataPort[283]
unit.1.7.waveform.posn.173.type=signal
unit.1.7.waveform.posn.174.channel=283
unit.1.7.waveform.posn.174.name=DataPort[283]
unit.1.7.waveform.posn.174.type=signal
unit.1.7.waveform.posn.175.channel=283
unit.1.7.waveform.posn.175.name=DataPort[283]
unit.1.7.waveform.posn.175.type=signal
unit.1.7.waveform.posn.176.channel=283
unit.1.7.waveform.posn.176.name=DataPort[283]
unit.1.7.waveform.posn.176.type=signal
unit.1.7.waveform.posn.177.channel=283
unit.1.7.waveform.posn.177.name=DataPort[283]
unit.1.7.waveform.posn.177.type=signal
unit.1.7.waveform.posn.178.channel=283
unit.1.7.waveform.posn.178.name=DataPort[283]
unit.1.7.waveform.posn.178.type=signal
unit.1.7.waveform.posn.179.channel=283
unit.1.7.waveform.posn.179.name=DataPort[283]
unit.1.7.waveform.posn.179.type=signal
unit.1.7.waveform.posn.18.channel=112
unit.1.7.waveform.posn.18.name=TX_FIFO_PROG_FULL
unit.1.7.waveform.posn.18.type=signal
unit.1.7.waveform.posn.180.channel=283
unit.1.7.waveform.posn.180.name=DataPort[283]
unit.1.7.waveform.posn.180.type=signal
unit.1.7.waveform.posn.181.channel=283
unit.1.7.waveform.posn.181.name=DataPort[283]
unit.1.7.waveform.posn.181.type=signal
unit.1.7.waveform.posn.182.channel=283
unit.1.7.waveform.posn.182.name=DataPort[283]
unit.1.7.waveform.posn.182.type=signal
unit.1.7.waveform.posn.183.channel=283
unit.1.7.waveform.posn.183.name=DataPort[283]
unit.1.7.waveform.posn.183.type=signal
unit.1.7.waveform.posn.184.channel=283
unit.1.7.waveform.posn.184.name=DataPort[283]
unit.1.7.waveform.posn.184.type=signal
unit.1.7.waveform.posn.185.channel=283
unit.1.7.waveform.posn.185.name=DataPort[283]
unit.1.7.waveform.posn.185.type=signal
unit.1.7.waveform.posn.186.channel=283
unit.1.7.waveform.posn.186.name=DataPort[283]
unit.1.7.waveform.posn.186.type=signal
unit.1.7.waveform.posn.187.channel=283
unit.1.7.waveform.posn.187.name=DataPort[283]
unit.1.7.waveform.posn.187.type=signal
unit.1.7.waveform.posn.188.channel=283
unit.1.7.waveform.posn.188.name=DataPort[283]
unit.1.7.waveform.posn.188.type=signal
unit.1.7.waveform.posn.189.channel=283
unit.1.7.waveform.posn.189.name=DataPort[283]
unit.1.7.waveform.posn.189.type=signal
unit.1.7.waveform.posn.19.channel=113
unit.1.7.waveform.posn.19.name=TX_FIFO_VALID
unit.1.7.waveform.posn.19.type=signal
unit.1.7.waveform.posn.190.channel=283
unit.1.7.waveform.posn.190.name=DataPort[283]
unit.1.7.waveform.posn.190.type=signal
unit.1.7.waveform.posn.191.channel=283
unit.1.7.waveform.posn.191.name=DataPort[283]
unit.1.7.waveform.posn.191.type=signal
unit.1.7.waveform.posn.192.channel=283
unit.1.7.waveform.posn.192.name=DataPort[283]
unit.1.7.waveform.posn.192.type=signal
unit.1.7.waveform.posn.193.channel=283
unit.1.7.waveform.posn.193.name=DataPort[283]
unit.1.7.waveform.posn.193.type=signal
unit.1.7.waveform.posn.194.channel=283
unit.1.7.waveform.posn.194.name=DataPort[283]
unit.1.7.waveform.posn.194.type=signal
unit.1.7.waveform.posn.195.channel=283
unit.1.7.waveform.posn.195.name=DataPort[283]
unit.1.7.waveform.posn.195.type=signal
unit.1.7.waveform.posn.196.channel=283
unit.1.7.waveform.posn.196.name=DataPort[283]
unit.1.7.waveform.posn.196.type=signal
unit.1.7.waveform.posn.197.channel=283
unit.1.7.waveform.posn.197.name=DataPort[283]
unit.1.7.waveform.posn.197.type=signal
unit.1.7.waveform.posn.198.channel=283
unit.1.7.waveform.posn.198.name=DataPort[283]
unit.1.7.waveform.posn.198.type=signal
unit.1.7.waveform.posn.199.channel=283
unit.1.7.waveform.posn.199.name=DataPort[283]
unit.1.7.waveform.posn.199.type=signal
unit.1.7.waveform.posn.2.channel=109
unit.1.7.waveform.posn.2.name=TX_FIFO_WE
unit.1.7.waveform.posn.2.radix=1
unit.1.7.waveform.posn.2.type=signal
unit.1.7.waveform.posn.20.channel=114
unit.1.7.waveform.posn.20.name=RX_FIFO_RE
unit.1.7.waveform.posn.20.type=signal
unit.1.7.waveform.posn.200.channel=283
unit.1.7.waveform.posn.200.name=DataPort[283]
unit.1.7.waveform.posn.200.type=signal
unit.1.7.waveform.posn.201.channel=283
unit.1.7.waveform.posn.201.name=DataPort[283]
unit.1.7.waveform.posn.201.type=signal
unit.1.7.waveform.posn.202.channel=283
unit.1.7.waveform.posn.202.name=DataPort[283]
unit.1.7.waveform.posn.202.type=signal
unit.1.7.waveform.posn.203.channel=283
unit.1.7.waveform.posn.203.name=DataPort[283]
unit.1.7.waveform.posn.203.type=signal
unit.1.7.waveform.posn.204.channel=283
unit.1.7.waveform.posn.204.name=DataPort[283]
unit.1.7.waveform.posn.204.type=signal
unit.1.7.waveform.posn.205.channel=283
unit.1.7.waveform.posn.205.name=DataPort[283]
unit.1.7.waveform.posn.205.type=signal
unit.1.7.waveform.posn.206.channel=283
unit.1.7.waveform.posn.206.name=DataPort[283]
unit.1.7.waveform.posn.206.type=signal
unit.1.7.waveform.posn.207.channel=283
unit.1.7.waveform.posn.207.name=DataPort[283]
unit.1.7.waveform.posn.207.type=signal
unit.1.7.waveform.posn.208.channel=283
unit.1.7.waveform.posn.208.name=DataPort[283]
unit.1.7.waveform.posn.208.type=signal
unit.1.7.waveform.posn.209.channel=283
unit.1.7.waveform.posn.209.name=DataPort[283]
unit.1.7.waveform.posn.209.type=signal
unit.1.7.waveform.posn.21.channel=115
unit.1.7.waveform.posn.21.name=RX_FIFO_WE
unit.1.7.waveform.posn.21.type=signal
unit.1.7.waveform.posn.210.channel=283
unit.1.7.waveform.posn.210.name=DataPort[283]
unit.1.7.waveform.posn.210.type=signal
unit.1.7.waveform.posn.211.channel=283
unit.1.7.waveform.posn.211.name=DataPort[283]
unit.1.7.waveform.posn.211.type=signal
unit.1.7.waveform.posn.212.channel=283
unit.1.7.waveform.posn.212.name=DataPort[283]
unit.1.7.waveform.posn.212.type=signal
unit.1.7.waveform.posn.213.channel=283
unit.1.7.waveform.posn.213.name=DataPort[283]
unit.1.7.waveform.posn.213.type=signal
unit.1.7.waveform.posn.214.channel=283
unit.1.7.waveform.posn.214.name=DataPort[283]
unit.1.7.waveform.posn.214.type=signal
unit.1.7.waveform.posn.215.channel=283
unit.1.7.waveform.posn.215.name=DataPort[283]
unit.1.7.waveform.posn.215.type=signal
unit.1.7.waveform.posn.216.channel=283
unit.1.7.waveform.posn.216.name=DataPort[283]
unit.1.7.waveform.posn.216.type=signal
unit.1.7.waveform.posn.217.channel=283
unit.1.7.waveform.posn.217.name=DataPort[283]
unit.1.7.waveform.posn.217.type=signal
unit.1.7.waveform.posn.218.channel=283
unit.1.7.waveform.posn.218.name=DataPort[283]
unit.1.7.waveform.posn.218.type=signal
unit.1.7.waveform.posn.219.channel=283
unit.1.7.waveform.posn.219.name=DataPort[283]
unit.1.7.waveform.posn.219.type=signal
unit.1.7.waveform.posn.22.channel=116
unit.1.7.waveform.posn.22.name=RX_FIFO_EMPTY
unit.1.7.waveform.posn.22.type=signal
unit.1.7.waveform.posn.220.channel=283
unit.1.7.waveform.posn.220.name=DataPort[283]
unit.1.7.waveform.posn.220.type=signal
unit.1.7.waveform.posn.221.channel=283
unit.1.7.waveform.posn.221.name=DataPort[283]
unit.1.7.waveform.posn.221.type=signal
unit.1.7.waveform.posn.222.channel=283
unit.1.7.waveform.posn.222.name=DataPort[283]
unit.1.7.waveform.posn.222.type=signal
unit.1.7.waveform.posn.223.channel=283
unit.1.7.waveform.posn.223.name=DataPort[283]
unit.1.7.waveform.posn.223.type=signal
unit.1.7.waveform.posn.224.channel=283
unit.1.7.waveform.posn.224.name=DataPort[283]
unit.1.7.waveform.posn.224.type=signal
unit.1.7.waveform.posn.225.channel=283
unit.1.7.waveform.posn.225.name=DataPort[283]
unit.1.7.waveform.posn.225.type=signal
unit.1.7.waveform.posn.226.channel=283
unit.1.7.waveform.posn.226.name=DataPort[283]
unit.1.7.waveform.posn.226.type=signal
unit.1.7.waveform.posn.227.channel=283
unit.1.7.waveform.posn.227.name=DataPort[283]
unit.1.7.waveform.posn.227.type=signal
unit.1.7.waveform.posn.228.channel=283
unit.1.7.waveform.posn.228.name=DataPort[283]
unit.1.7.waveform.posn.228.type=signal
unit.1.7.waveform.posn.229.channel=283
unit.1.7.waveform.posn.229.name=DataPort[283]
unit.1.7.waveform.posn.229.type=signal
unit.1.7.waveform.posn.23.channel=117
unit.1.7.waveform.posn.23.name=RX_FIFO_FULL
unit.1.7.waveform.posn.23.type=signal
unit.1.7.waveform.posn.230.channel=283
unit.1.7.waveform.posn.230.name=DataPort[283]
unit.1.7.waveform.posn.230.type=signal
unit.1.7.waveform.posn.231.channel=283
unit.1.7.waveform.posn.231.name=DataPort[283]
unit.1.7.waveform.posn.231.type=signal
unit.1.7.waveform.posn.232.channel=283
unit.1.7.waveform.posn.232.name=DataPort[283]
unit.1.7.waveform.posn.232.type=signal
unit.1.7.waveform.posn.233.channel=283
unit.1.7.waveform.posn.233.name=DataPort[283]
unit.1.7.waveform.posn.233.type=signal
unit.1.7.waveform.posn.234.channel=283
unit.1.7.waveform.posn.234.name=DataPort[283]
unit.1.7.waveform.posn.234.type=signal
unit.1.7.waveform.posn.235.channel=283
unit.1.7.waveform.posn.235.name=DataPort[283]
unit.1.7.waveform.posn.235.type=signal
unit.1.7.waveform.posn.236.channel=283
unit.1.7.waveform.posn.236.name=DataPort[283]
unit.1.7.waveform.posn.236.type=signal
unit.1.7.waveform.posn.237.channel=283
unit.1.7.waveform.posn.237.name=DataPort[283]
unit.1.7.waveform.posn.237.type=signal
unit.1.7.waveform.posn.238.channel=283
unit.1.7.waveform.posn.238.name=DataPort[283]
unit.1.7.waveform.posn.238.type=signal
unit.1.7.waveform.posn.239.channel=283
unit.1.7.waveform.posn.239.name=DataPort[283]
unit.1.7.waveform.posn.239.type=signal
unit.1.7.waveform.posn.24.channel=118
unit.1.7.waveform.posn.24.name=RX_FIFO_VALID
unit.1.7.waveform.posn.24.type=signal
unit.1.7.waveform.posn.240.channel=283
unit.1.7.waveform.posn.240.name=DataPort[283]
unit.1.7.waveform.posn.240.type=signal
unit.1.7.waveform.posn.241.channel=283
unit.1.7.waveform.posn.241.name=DataPort[283]
unit.1.7.waveform.posn.241.type=signal
unit.1.7.waveform.posn.242.channel=283
unit.1.7.waveform.posn.242.name=DataPort[283]
unit.1.7.waveform.posn.242.type=signal
unit.1.7.waveform.posn.243.channel=283
unit.1.7.waveform.posn.243.name=DataPort[283]
unit.1.7.waveform.posn.243.type=signal
unit.1.7.waveform.posn.244.channel=283
unit.1.7.waveform.posn.244.name=DataPort[283]
unit.1.7.waveform.posn.244.type=signal
unit.1.7.waveform.posn.245.channel=283
unit.1.7.waveform.posn.245.name=DataPort[283]
unit.1.7.waveform.posn.245.type=signal
unit.1.7.waveform.posn.246.channel=283
unit.1.7.waveform.posn.246.name=DataPort[283]
unit.1.7.waveform.posn.246.type=signal
unit.1.7.waveform.posn.247.channel=283
unit.1.7.waveform.posn.247.name=DataPort[283]
unit.1.7.waveform.posn.247.type=signal
unit.1.7.waveform.posn.248.channel=283
unit.1.7.waveform.posn.248.name=DataPort[283]
unit.1.7.waveform.posn.248.type=signal
unit.1.7.waveform.posn.249.channel=283
unit.1.7.waveform.posn.249.name=DataPort[283]
unit.1.7.waveform.posn.249.type=signal
unit.1.7.waveform.posn.25.channel=119
unit.1.7.waveform.posn.25.name=RX_FIFO_PROG_FULL
unit.1.7.waveform.posn.25.type=signal
unit.1.7.waveform.posn.250.channel=283
unit.1.7.waveform.posn.250.name=DataPort[283]
unit.1.7.waveform.posn.250.type=signal
unit.1.7.waveform.posn.251.channel=283
unit.1.7.waveform.posn.251.name=DataPort[283]
unit.1.7.waveform.posn.251.type=signal
unit.1.7.waveform.posn.252.channel=283
unit.1.7.waveform.posn.252.name=DataPort[283]
unit.1.7.waveform.posn.252.type=signal
unit.1.7.waveform.posn.253.channel=283
unit.1.7.waveform.posn.253.name=DataPort[283]
unit.1.7.waveform.posn.253.type=signal
unit.1.7.waveform.posn.254.channel=283
unit.1.7.waveform.posn.254.name=DataPort[283]
unit.1.7.waveform.posn.254.type=signal
unit.1.7.waveform.posn.255.channel=283
unit.1.7.waveform.posn.255.name=DataPort[283]
unit.1.7.waveform.posn.255.type=signal
unit.1.7.waveform.posn.256.channel=283
unit.1.7.waveform.posn.256.name=DataPort[283]
unit.1.7.waveform.posn.256.type=signal
unit.1.7.waveform.posn.257.channel=283
unit.1.7.waveform.posn.257.name=DataPort[283]
unit.1.7.waveform.posn.257.type=signal
unit.1.7.waveform.posn.258.channel=283
unit.1.7.waveform.posn.258.name=DataPort[283]
unit.1.7.waveform.posn.258.type=signal
unit.1.7.waveform.posn.259.channel=283
unit.1.7.waveform.posn.259.name=DataPort[283]
unit.1.7.waveform.posn.259.type=signal
unit.1.7.waveform.posn.26.channel=120
unit.1.7.waveform.posn.26.name=new_cmd_r
unit.1.7.waveform.posn.26.type=signal
unit.1.7.waveform.posn.260.channel=283
unit.1.7.waveform.posn.260.name=DataPort[283]
unit.1.7.waveform.posn.260.type=signal
unit.1.7.waveform.posn.261.channel=283
unit.1.7.waveform.posn.261.name=DataPort[283]
unit.1.7.waveform.posn.261.type=signal
unit.1.7.waveform.posn.262.channel=283
unit.1.7.waveform.posn.262.name=DataPort[283]
unit.1.7.waveform.posn.262.type=signal
unit.1.7.waveform.posn.263.channel=283
unit.1.7.waveform.posn.263.name=DataPort[283]
unit.1.7.waveform.posn.263.type=signal
unit.1.7.waveform.posn.264.channel=283
unit.1.7.waveform.posn.264.name=DataPort[283]
unit.1.7.waveform.posn.264.type=signal
unit.1.7.waveform.posn.265.channel=283
unit.1.7.waveform.posn.265.name=DataPort[283]
unit.1.7.waveform.posn.265.type=signal
unit.1.7.waveform.posn.266.channel=283
unit.1.7.waveform.posn.266.name=DataPort[283]
unit.1.7.waveform.posn.266.type=signal
unit.1.7.waveform.posn.267.channel=283
unit.1.7.waveform.posn.267.name=DataPort[283]
unit.1.7.waveform.posn.267.type=signal
unit.1.7.waveform.posn.268.channel=283
unit.1.7.waveform.posn.268.name=DataPort[283]
unit.1.7.waveform.posn.268.type=signal
unit.1.7.waveform.posn.269.channel=283
unit.1.7.waveform.posn.269.name=DataPort[283]
unit.1.7.waveform.posn.269.type=signal
unit.1.7.waveform.posn.27.channel=121
unit.1.7.waveform.posn.27.name=NPI_ready_for_cmd
unit.1.7.waveform.posn.27.type=signal
unit.1.7.waveform.posn.270.channel=283
unit.1.7.waveform.posn.270.name=DataPort[283]
unit.1.7.waveform.posn.270.type=signal
unit.1.7.waveform.posn.271.channel=283
unit.1.7.waveform.posn.271.name=DataPort[283]
unit.1.7.waveform.posn.271.type=signal
unit.1.7.waveform.posn.272.channel=283
unit.1.7.waveform.posn.272.name=DataPort[283]
unit.1.7.waveform.posn.272.type=signal
unit.1.7.waveform.posn.273.channel=283
unit.1.7.waveform.posn.273.name=DataPort[283]
unit.1.7.waveform.posn.273.type=signal
unit.1.7.waveform.posn.274.channel=283
unit.1.7.waveform.posn.274.name=DataPort[283]
unit.1.7.waveform.posn.274.type=signal
unit.1.7.waveform.posn.275.channel=283
unit.1.7.waveform.posn.275.name=DataPort[283]
unit.1.7.waveform.posn.275.type=signal
unit.1.7.waveform.posn.276.channel=283
unit.1.7.waveform.posn.276.name=DataPort[283]
unit.1.7.waveform.posn.276.type=signal
unit.1.7.waveform.posn.277.channel=283
unit.1.7.waveform.posn.277.name=DataPort[283]
unit.1.7.waveform.posn.277.type=signal
unit.1.7.waveform.posn.278.channel=283
unit.1.7.waveform.posn.278.name=DataPort[283]
unit.1.7.waveform.posn.278.type=signal
unit.1.7.waveform.posn.279.channel=283
unit.1.7.waveform.posn.279.name=DataPort[283]
unit.1.7.waveform.posn.279.type=signal
unit.1.7.waveform.posn.28.channel=122
unit.1.7.waveform.posn.28.name=new_cmd_r2
unit.1.7.waveform.posn.28.type=signal
unit.1.7.waveform.posn.280.channel=283
unit.1.7.waveform.posn.280.name=DataPort[283]
unit.1.7.waveform.posn.280.type=signal
unit.1.7.waveform.posn.281.channel=283
unit.1.7.waveform.posn.281.name=DataPort[283]
unit.1.7.waveform.posn.281.type=signal
unit.1.7.waveform.posn.282.channel=283
unit.1.7.waveform.posn.282.name=DataPort[283]
unit.1.7.waveform.posn.282.type=signal
unit.1.7.waveform.posn.283.channel=283
unit.1.7.waveform.posn.283.name=DataPort[283]
unit.1.7.waveform.posn.283.type=signal
unit.1.7.waveform.posn.29.channel=123
unit.1.7.waveform.posn.29.name=TRIG4[23]
unit.1.7.waveform.posn.29.type=signal
unit.1.7.waveform.posn.3.channel=2147483646
unit.1.7.waveform.posn.3.name=RX_FIFO_DOUT
unit.1.7.waveform.posn.3.radix=1
unit.1.7.waveform.posn.3.type=bus
unit.1.7.waveform.posn.30.channel=123
unit.1.7.waveform.posn.30.name=TRIG4[23]
unit.1.7.waveform.posn.30.type=signal
unit.1.7.waveform.posn.31.channel=123
unit.1.7.waveform.posn.31.name=TRIG4[23]
unit.1.7.waveform.posn.31.type=signal
unit.1.7.waveform.posn.32.channel=283
unit.1.7.waveform.posn.32.name=DataPort[283]
unit.1.7.waveform.posn.32.type=signal
unit.1.7.waveform.posn.33.channel=283
unit.1.7.waveform.posn.33.name=DataPort[283]
unit.1.7.waveform.posn.33.type=signal
unit.1.7.waveform.posn.34.channel=283
unit.1.7.waveform.posn.34.name=DataPort[283]
unit.1.7.waveform.posn.34.type=signal
unit.1.7.waveform.posn.35.channel=283
unit.1.7.waveform.posn.35.name=DataPort[283]
unit.1.7.waveform.posn.35.type=signal
unit.1.7.waveform.posn.36.channel=283
unit.1.7.waveform.posn.36.name=DataPort[283]
unit.1.7.waveform.posn.36.type=signal
unit.1.7.waveform.posn.37.channel=283
unit.1.7.waveform.posn.37.name=DataPort[283]
unit.1.7.waveform.posn.37.type=signal
unit.1.7.waveform.posn.38.channel=283
unit.1.7.waveform.posn.38.name=DataPort[283]
unit.1.7.waveform.posn.38.type=signal
unit.1.7.waveform.posn.39.channel=283
unit.1.7.waveform.posn.39.name=DataPort[283]
unit.1.7.waveform.posn.39.type=signal
unit.1.7.waveform.posn.4.channel=2147483646
unit.1.7.waveform.posn.4.name=LL_RX_FSM_VALUE_R
unit.1.7.waveform.posn.4.radix=1
unit.1.7.waveform.posn.4.type=bus
unit.1.7.waveform.posn.40.channel=283
unit.1.7.waveform.posn.40.name=DataPort[283]
unit.1.7.waveform.posn.40.type=signal
unit.1.7.waveform.posn.41.channel=283
unit.1.7.waveform.posn.41.name=DataPort[283]
unit.1.7.waveform.posn.41.type=signal
unit.1.7.waveform.posn.42.channel=283
unit.1.7.waveform.posn.42.name=DataPort[283]
unit.1.7.waveform.posn.42.type=signal
unit.1.7.waveform.posn.43.channel=283
unit.1.7.waveform.posn.43.name=DataPort[283]
unit.1.7.waveform.posn.43.type=signal
unit.1.7.waveform.posn.44.channel=283
unit.1.7.waveform.posn.44.name=DataPort[283]
unit.1.7.waveform.posn.44.type=signal
unit.1.7.waveform.posn.45.channel=283
unit.1.7.waveform.posn.45.name=DataPort[283]
unit.1.7.waveform.posn.45.type=signal
unit.1.7.waveform.posn.46.channel=283
unit.1.7.waveform.posn.46.name=DataPort[283]
unit.1.7.waveform.posn.46.type=signal
unit.1.7.waveform.posn.47.channel=283
unit.1.7.waveform.posn.47.name=DataPort[283]
unit.1.7.waveform.posn.47.type=signal
unit.1.7.waveform.posn.48.channel=283
unit.1.7.waveform.posn.48.name=DataPort[283]
unit.1.7.waveform.posn.48.type=signal
unit.1.7.waveform.posn.49.channel=283
unit.1.7.waveform.posn.49.name=DataPort[283]
unit.1.7.waveform.posn.49.type=signal
unit.1.7.waveform.posn.5.channel=2147483646
unit.1.7.waveform.posn.5.name=RX_FIFO_DIN
unit.1.7.waveform.posn.5.radix=4
unit.1.7.waveform.posn.5.type=bus
unit.1.7.waveform.posn.50.channel=283
unit.1.7.waveform.posn.50.name=DataPort[283]
unit.1.7.waveform.posn.50.type=signal
unit.1.7.waveform.posn.51.channel=283
unit.1.7.waveform.posn.51.name=DataPort[283]
unit.1.7.waveform.posn.51.type=signal
unit.1.7.waveform.posn.52.channel=283
unit.1.7.waveform.posn.52.name=DataPort[283]
unit.1.7.waveform.posn.52.type=signal
unit.1.7.waveform.posn.53.channel=283
unit.1.7.waveform.posn.53.name=DataPort[283]
unit.1.7.waveform.posn.53.type=signal
unit.1.7.waveform.posn.54.channel=283
unit.1.7.waveform.posn.54.name=DataPort[283]
unit.1.7.waveform.posn.54.type=signal
unit.1.7.waveform.posn.55.channel=283
unit.1.7.waveform.posn.55.name=DataPort[283]
unit.1.7.waveform.posn.55.type=signal
unit.1.7.waveform.posn.56.channel=283
unit.1.7.waveform.posn.56.name=DataPort[283]
unit.1.7.waveform.posn.56.type=signal
unit.1.7.waveform.posn.57.channel=283
unit.1.7.waveform.posn.57.name=DataPort[283]
unit.1.7.waveform.posn.57.type=signal
unit.1.7.waveform.posn.58.channel=283
unit.1.7.waveform.posn.58.name=DataPort[283]
unit.1.7.waveform.posn.58.type=signal
unit.1.7.waveform.posn.59.channel=283
unit.1.7.waveform.posn.59.name=DataPort[283]
unit.1.7.waveform.posn.59.type=signal
unit.1.7.waveform.posn.6.channel=2147483646
unit.1.7.waveform.posn.6.name=INIT_WR_ADDR
unit.1.7.waveform.posn.6.radix=1
unit.1.7.waveform.posn.6.type=bus
unit.1.7.waveform.posn.60.channel=283
unit.1.7.waveform.posn.60.name=DataPort[283]
unit.1.7.waveform.posn.60.type=signal
unit.1.7.waveform.posn.61.channel=283
unit.1.7.waveform.posn.61.name=DataPort[283]
unit.1.7.waveform.posn.61.type=signal
unit.1.7.waveform.posn.62.channel=283
unit.1.7.waveform.posn.62.name=DataPort[283]
unit.1.7.waveform.posn.62.type=signal
unit.1.7.waveform.posn.63.channel=283
unit.1.7.waveform.posn.63.name=DataPort[283]
unit.1.7.waveform.posn.63.type=signal
unit.1.7.waveform.posn.64.channel=283
unit.1.7.waveform.posn.64.name=DataPort[283]
unit.1.7.waveform.posn.64.type=signal
unit.1.7.waveform.posn.65.channel=283
unit.1.7.waveform.posn.65.name=DataPort[283]
unit.1.7.waveform.posn.65.type=signal
unit.1.7.waveform.posn.66.channel=283
unit.1.7.waveform.posn.66.name=DataPort[283]
unit.1.7.waveform.posn.66.type=signal
unit.1.7.waveform.posn.67.channel=283
unit.1.7.waveform.posn.67.name=DataPort[283]
unit.1.7.waveform.posn.67.type=signal
unit.1.7.waveform.posn.68.channel=283
unit.1.7.waveform.posn.68.name=DataPort[283]
unit.1.7.waveform.posn.68.type=signal
unit.1.7.waveform.posn.69.channel=283
unit.1.7.waveform.posn.69.name=DataPort[283]
unit.1.7.waveform.posn.69.type=signal
unit.1.7.waveform.posn.7.channel=2147483646
unit.1.7.waveform.posn.7.name=INIT_RD_ADDR
unit.1.7.waveform.posn.7.radix=1
unit.1.7.waveform.posn.7.type=bus
unit.1.7.waveform.posn.70.channel=283
unit.1.7.waveform.posn.70.name=DataPort[283]
unit.1.7.waveform.posn.70.type=signal
unit.1.7.waveform.posn.71.channel=283
unit.1.7.waveform.posn.71.name=DataPort[283]
unit.1.7.waveform.posn.71.type=signal
unit.1.7.waveform.posn.72.channel=283
unit.1.7.waveform.posn.72.name=DataPort[283]
unit.1.7.waveform.posn.72.type=signal
unit.1.7.waveform.posn.73.channel=283
unit.1.7.waveform.posn.73.name=DataPort[283]
unit.1.7.waveform.posn.73.type=signal
unit.1.7.waveform.posn.74.channel=283
unit.1.7.waveform.posn.74.name=DataPort[283]
unit.1.7.waveform.posn.74.type=signal
unit.1.7.waveform.posn.75.channel=283
unit.1.7.waveform.posn.75.name=DataPort[283]
unit.1.7.waveform.posn.75.type=signal
unit.1.7.waveform.posn.76.channel=283
unit.1.7.waveform.posn.76.name=DataPort[283]
unit.1.7.waveform.posn.76.type=signal
unit.1.7.waveform.posn.77.channel=283
unit.1.7.waveform.posn.77.name=DataPort[283]
unit.1.7.waveform.posn.77.type=signal
unit.1.7.waveform.posn.78.channel=283
unit.1.7.waveform.posn.78.name=DataPort[283]
unit.1.7.waveform.posn.78.type=signal
unit.1.7.waveform.posn.79.channel=283
unit.1.7.waveform.posn.79.name=DataPort[283]
unit.1.7.waveform.posn.79.type=signal
unit.1.7.waveform.posn.8.channel=100
unit.1.7.waveform.posn.8.name=CORE_RFD
unit.1.7.waveform.posn.8.radix=1
unit.1.7.waveform.posn.8.type=signal
unit.1.7.waveform.posn.80.channel=283
unit.1.7.waveform.posn.80.name=DataPort[283]
unit.1.7.waveform.posn.80.type=signal
unit.1.7.waveform.posn.81.channel=283
unit.1.7.waveform.posn.81.name=DataPort[283]
unit.1.7.waveform.posn.81.type=signal
unit.1.7.waveform.posn.82.channel=283
unit.1.7.waveform.posn.82.name=DataPort[283]
unit.1.7.waveform.posn.82.type=signal
unit.1.7.waveform.posn.83.channel=283
unit.1.7.waveform.posn.83.name=DataPort[283]
unit.1.7.waveform.posn.83.type=signal
unit.1.7.waveform.posn.84.channel=283
unit.1.7.waveform.posn.84.name=DataPort[283]
unit.1.7.waveform.posn.84.type=signal
unit.1.7.waveform.posn.85.channel=283
unit.1.7.waveform.posn.85.name=DataPort[283]
unit.1.7.waveform.posn.85.type=signal
unit.1.7.waveform.posn.86.channel=283
unit.1.7.waveform.posn.86.name=DataPort[283]
unit.1.7.waveform.posn.86.type=signal
unit.1.7.waveform.posn.87.channel=283
unit.1.7.waveform.posn.87.name=DataPort[283]
unit.1.7.waveform.posn.87.type=signal
unit.1.7.waveform.posn.88.channel=283
unit.1.7.waveform.posn.88.name=DataPort[283]
unit.1.7.waveform.posn.88.type=signal
unit.1.7.waveform.posn.89.channel=283
unit.1.7.waveform.posn.89.name=DataPort[283]
unit.1.7.waveform.posn.89.type=signal
unit.1.7.waveform.posn.9.channel=101
unit.1.7.waveform.posn.9.name=DATA_TO_MEM_WE
unit.1.7.waveform.posn.9.type=signal
unit.1.7.waveform.posn.90.channel=283
unit.1.7.waveform.posn.90.name=DataPort[283]
unit.1.7.waveform.posn.90.type=signal
unit.1.7.waveform.posn.91.channel=283
unit.1.7.waveform.posn.91.name=DataPort[283]
unit.1.7.waveform.posn.91.type=signal
unit.1.7.waveform.posn.92.channel=283
unit.1.7.waveform.posn.92.name=DataPort[283]
unit.1.7.waveform.posn.92.type=signal
unit.1.7.waveform.posn.93.channel=283
unit.1.7.waveform.posn.93.name=DataPort[283]
unit.1.7.waveform.posn.93.type=signal
unit.1.7.waveform.posn.94.channel=283
unit.1.7.waveform.posn.94.name=DataPort[283]
unit.1.7.waveform.posn.94.type=signal
unit.1.7.waveform.posn.95.channel=283
unit.1.7.waveform.posn.95.name=DataPort[283]
unit.1.7.waveform.posn.95.type=signal
unit.1.7.waveform.posn.96.channel=283
unit.1.7.waveform.posn.96.name=DataPort[283]
unit.1.7.waveform.posn.96.type=signal
unit.1.7.waveform.posn.97.channel=283
unit.1.7.waveform.posn.97.name=DataPort[283]
unit.1.7.waveform.posn.97.type=signal
unit.1.7.waveform.posn.98.channel=283
unit.1.7.waveform.posn.98.name=DataPort[283]
unit.1.7.waveform.posn.98.type=signal
unit.1.7.waveform.posn.99.channel=283
unit.1.7.waveform.posn.99.name=DataPort[283]
unit.1.7.waveform.posn.99.type=signal
unit.1.8.0.HEIGHT0=0.62750334
unit.1.8.0.TriggerRow0=1
unit.1.8.0.TriggerRow1=1
unit.1.8.0.TriggerRow2=1
unit.1.8.0.WIDTH0=0.88
unit.1.8.0.X0=0.12
unit.1.8.0.Y0=0.0
unit.1.8.1.HEIGHT1=0.6929239
unit.1.8.1.WIDTH1=0.8465116
unit.1.8.1.X1=0.12651163
unit.1.8.1.Y1=0.20961282
unit.1.8.MFBitsA0=1000
unit.1.8.MFBitsA1=XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
unit.1.8.MFBitsA2=XXXXX1XX
unit.1.8.MFBitsB0=0000
unit.1.8.MFBitsB1=00000000000000000000000000000000
unit.1.8.MFBitsB2=00000000
unit.1.8.MFCompareA0=0
unit.1.8.MFCompareA1=0
unit.1.8.MFCompareA2=0
unit.1.8.MFCompareB0=999
unit.1.8.MFCompareB1=999
unit.1.8.MFCompareB2=999
unit.1.8.MFCount=3
unit.1.8.MFDisplay0=1
unit.1.8.MFDisplay1=0
unit.1.8.MFDisplay2=0
unit.1.8.MFEventType0=3
unit.1.8.MFEventType1=3
unit.1.8.MFEventType2=3
unit.1.8.RunMode=SINGLE RUN
unit.1.8.SQCondition=All Data
unit.1.8.SQContiguous0=0
unit.1.8.SequencerOn=0
unit.1.8.TCActive=0
unit.1.8.TCAdvanced0=0
unit.1.8.TCCondition0_0=M0 && M2
unit.1.8.TCCondition0_1=
unit.1.8.TCConditionType0=0
unit.1.8.TCCount=1
unit.1.8.TCEventCount0=1
unit.1.8.TCEventType0=3
unit.1.8.TCName0=TriggerCondition0
unit.1.8.TCOutputEnable0=0
unit.1.8.TCOutputHigh0=1
unit.1.8.TCOutputMode0=0
unit.1.8.browser_tree_state<Data\ Port>=0
unit.1.8.browser_tree_state<TRIG2>=0
unit.1.8.coretype=ILA
unit.1.8.eventCount0=1
unit.1.8.eventCount1=1
unit.1.8.eventCount2=1
unit.1.8.port.-1.b.0.alias=LL_TX_FSM_VALUE_R
unit.1.8.port.-1.b.0.channellist=0 1 2 3
unit.1.8.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.b.0.name=BUS_0
unit.1.8.port.-1.b.0.orderindex=44
unit.1.8.port.-1.b.0.radix=Hex
unit.1.8.port.-1.b.0.signedOffset=0.0
unit.1.8.port.-1.b.0.signedPrecision=0
unit.1.8.port.-1.b.0.signedScaleFactor=1.0
unit.1.8.port.-1.b.0.tokencount=0
unit.1.8.port.-1.b.0.unsignedOffset=0.0
unit.1.8.port.-1.b.0.unsignedPrecision=0
unit.1.8.port.-1.b.0.unsignedScaleFactor=1.0
unit.1.8.port.-1.b.0.visible=1
unit.1.8.port.-1.b.1.alias=NPI_CORE_DOUT_OUT
unit.1.8.port.-1.b.1.channellist=4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35
unit.1.8.port.-1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.b.1.name=BUS_1
unit.1.8.port.-1.b.1.orderindex=45
unit.1.8.port.-1.b.1.radix=Unsigned
unit.1.8.port.-1.b.1.signedOffset=0.0
unit.1.8.port.-1.b.1.signedPrecision=0
unit.1.8.port.-1.b.1.signedScaleFactor=1.0
unit.1.8.port.-1.b.1.tokencount=0
unit.1.8.port.-1.b.1.unsignedOffset=0.0
unit.1.8.port.-1.b.1.unsignedPrecision=0
unit.1.8.port.-1.b.1.unsignedScaleFactor=1.0
unit.1.8.port.-1.b.1.visible=1
unit.1.8.port.-1.b.2.alias=TRIG2
unit.1.8.port.-1.b.2.channellist=36 37 38 39 40 41 42 43
unit.1.8.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.b.2.name=BUS_2
unit.1.8.port.-1.b.2.orderindex=46
unit.1.8.port.-1.b.2.radix=Hex
unit.1.8.port.-1.b.2.signedOffset=0.0
unit.1.8.port.-1.b.2.signedPrecision=0
unit.1.8.port.-1.b.2.signedScaleFactor=1.0
unit.1.8.port.-1.b.2.tokencount=0
unit.1.8.port.-1.b.2.unsignedOffset=0.0
unit.1.8.port.-1.b.2.unsignedPrecision=0
unit.1.8.port.-1.b.2.unsignedScaleFactor=1.0
unit.1.8.port.-1.b.2.visible=1
unit.1.8.port.-1.buscount=3
unit.1.8.port.-1.channelcount=44
unit.1.8.port.-1.s.0.alias=
unit.1.8.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.0.name=LL_TX_FSM_VALUE_R[0]
unit.1.8.port.-1.s.0.orderindex=-1
unit.1.8.port.-1.s.0.visible=1
unit.1.8.port.-1.s.1.alias=
unit.1.8.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.1.name=LL_TX_FSM_VALUE_R[1]
unit.1.8.port.-1.s.1.orderindex=-1
unit.1.8.port.-1.s.1.visible=1
unit.1.8.port.-1.s.10.alias=
unit.1.8.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.10.name=NPI_CORE_DOUT_OUT[6]
unit.1.8.port.-1.s.10.orderindex=-1
unit.1.8.port.-1.s.10.visible=1
unit.1.8.port.-1.s.11.alias=
unit.1.8.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.11.name=NPI_CORE_DOUT_OUT[7]
unit.1.8.port.-1.s.11.orderindex=-1
unit.1.8.port.-1.s.11.visible=1
unit.1.8.port.-1.s.12.alias=
unit.1.8.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.12.name=NPI_CORE_DOUT_OUT[8]
unit.1.8.port.-1.s.12.orderindex=-1
unit.1.8.port.-1.s.12.visible=1
unit.1.8.port.-1.s.13.alias=
unit.1.8.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.13.name=NPI_CORE_DOUT_OUT[9]
unit.1.8.port.-1.s.13.orderindex=-1
unit.1.8.port.-1.s.13.visible=1
unit.1.8.port.-1.s.14.alias=
unit.1.8.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.14.name=NPI_CORE_DOUT_OUT[10]
unit.1.8.port.-1.s.14.orderindex=-1
unit.1.8.port.-1.s.14.visible=1
unit.1.8.port.-1.s.15.alias=
unit.1.8.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.15.name=NPI_CORE_DOUT_OUT[11]
unit.1.8.port.-1.s.15.orderindex=-1
unit.1.8.port.-1.s.15.visible=1
unit.1.8.port.-1.s.16.alias=
unit.1.8.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.16.name=NPI_CORE_DOUT_OUT[12]
unit.1.8.port.-1.s.16.orderindex=-1
unit.1.8.port.-1.s.16.visible=1
unit.1.8.port.-1.s.17.alias=
unit.1.8.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.17.name=NPI_CORE_DOUT_OUT[13]
unit.1.8.port.-1.s.17.orderindex=-1
unit.1.8.port.-1.s.17.visible=1
unit.1.8.port.-1.s.18.alias=
unit.1.8.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.18.name=NPI_CORE_DOUT_OUT[14]
unit.1.8.port.-1.s.18.orderindex=-1
unit.1.8.port.-1.s.18.visible=1
unit.1.8.port.-1.s.19.alias=
unit.1.8.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.19.name=NPI_CORE_DOUT_OUT[15]
unit.1.8.port.-1.s.19.orderindex=-1
unit.1.8.port.-1.s.19.visible=1
unit.1.8.port.-1.s.2.alias=
unit.1.8.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.2.name=LL_TX_FSM_VALUE_R[2]
unit.1.8.port.-1.s.2.orderindex=-1
unit.1.8.port.-1.s.2.visible=1
unit.1.8.port.-1.s.20.alias=
unit.1.8.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.20.name=NPI_CORE_DOUT_OUT[16]
unit.1.8.port.-1.s.20.orderindex=-1
unit.1.8.port.-1.s.20.visible=1
unit.1.8.port.-1.s.21.alias=
unit.1.8.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.21.name=NPI_CORE_DOUT_OUT[17]
unit.1.8.port.-1.s.21.orderindex=-1
unit.1.8.port.-1.s.21.visible=1
unit.1.8.port.-1.s.22.alias=
unit.1.8.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.22.name=NPI_CORE_DOUT_OUT[18]
unit.1.8.port.-1.s.22.orderindex=-1
unit.1.8.port.-1.s.22.visible=1
unit.1.8.port.-1.s.23.alias=
unit.1.8.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.23.name=NPI_CORE_DOUT_OUT[19]
unit.1.8.port.-1.s.23.orderindex=-1
unit.1.8.port.-1.s.23.visible=1
unit.1.8.port.-1.s.24.alias=
unit.1.8.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.24.name=NPI_CORE_DOUT_OUT[20]
unit.1.8.port.-1.s.24.orderindex=-1
unit.1.8.port.-1.s.24.visible=1
unit.1.8.port.-1.s.25.alias=
unit.1.8.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.25.name=NPI_CORE_DOUT_OUT[21]
unit.1.8.port.-1.s.25.orderindex=-1
unit.1.8.port.-1.s.25.visible=1
unit.1.8.port.-1.s.26.alias=
unit.1.8.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.26.name=NPI_CORE_DOUT_OUT[22]
unit.1.8.port.-1.s.26.orderindex=-1
unit.1.8.port.-1.s.26.visible=1
unit.1.8.port.-1.s.27.alias=
unit.1.8.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.27.name=NPI_CORE_DOUT_OUT[23]
unit.1.8.port.-1.s.27.orderindex=-1
unit.1.8.port.-1.s.27.visible=1
unit.1.8.port.-1.s.28.alias=
unit.1.8.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.28.name=NPI_CORE_DOUT_OUT[24]
unit.1.8.port.-1.s.28.orderindex=-1
unit.1.8.port.-1.s.28.visible=1
unit.1.8.port.-1.s.29.alias=
unit.1.8.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.29.name=NPI_CORE_DOUT_OUT[25]
unit.1.8.port.-1.s.29.orderindex=-1
unit.1.8.port.-1.s.29.visible=1
unit.1.8.port.-1.s.3.alias=
unit.1.8.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.3.name=LL_TX_FSM_VALUE_R[3]
unit.1.8.port.-1.s.3.orderindex=-1
unit.1.8.port.-1.s.3.visible=1
unit.1.8.port.-1.s.30.alias=
unit.1.8.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.30.name=NPI_CORE_DOUT_OUT[26]
unit.1.8.port.-1.s.30.orderindex=-1
unit.1.8.port.-1.s.30.visible=1
unit.1.8.port.-1.s.31.alias=
unit.1.8.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.31.name=NPI_CORE_DOUT_OUT[27]
unit.1.8.port.-1.s.31.orderindex=-1
unit.1.8.port.-1.s.31.visible=1
unit.1.8.port.-1.s.32.alias=
unit.1.8.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.32.name=NPI_CORE_DOUT_OUT[28]
unit.1.8.port.-1.s.32.orderindex=-1
unit.1.8.port.-1.s.32.visible=1
unit.1.8.port.-1.s.33.alias=
unit.1.8.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.33.name=NPI_CORE_DOUT_OUT[29]
unit.1.8.port.-1.s.33.orderindex=-1
unit.1.8.port.-1.s.33.visible=1
unit.1.8.port.-1.s.34.alias=
unit.1.8.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.34.name=NPI_CORE_DOUT_OUT[30]
unit.1.8.port.-1.s.34.orderindex=-1
unit.1.8.port.-1.s.34.visible=1
unit.1.8.port.-1.s.35.alias=
unit.1.8.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.35.name=NPI_CORE_DOUT_OUT[31]
unit.1.8.port.-1.s.35.orderindex=-1
unit.1.8.port.-1.s.35.visible=1
unit.1.8.port.-1.s.36.alias=
unit.1.8.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.36.name=CORE_RFD
unit.1.8.port.-1.s.36.orderindex=-1
unit.1.8.port.-1.s.36.visible=1
unit.1.8.port.-1.s.37.alias=
unit.1.8.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.37.name=RD_REQ_START
unit.1.8.port.-1.s.37.orderindex=-1
unit.1.8.port.-1.s.37.visible=1
unit.1.8.port.-1.s.38.alias=
unit.1.8.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.38.name=RD_REQ_DONE
unit.1.8.port.-1.s.38.orderindex=-1
unit.1.8.port.-1.s.38.visible=1
unit.1.8.port.-1.s.39.alias=
unit.1.8.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.39.name=NPI_CORE_DOUT_WE_OUT
unit.1.8.port.-1.s.39.orderindex=-1
unit.1.8.port.-1.s.39.visible=1
unit.1.8.port.-1.s.4.alias=
unit.1.8.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.4.name=NPI_CORE_DOUT_OUT[0]
unit.1.8.port.-1.s.4.orderindex=-1
unit.1.8.port.-1.s.4.visible=1
unit.1.8.port.-1.s.40.alias=
unit.1.8.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.40.name=TX_FIFO_RE
unit.1.8.port.-1.s.40.orderindex=-1
unit.1.8.port.-1.s.40.visible=1
unit.1.8.port.-1.s.41.alias=
unit.1.8.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.41.name=TX_FIFO_EMPTY
unit.1.8.port.-1.s.41.orderindex=-1
unit.1.8.port.-1.s.41.visible=1
unit.1.8.port.-1.s.42.alias=
unit.1.8.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.42.name=TX_FIFO_PROG_FULL
unit.1.8.port.-1.s.42.orderindex=-1
unit.1.8.port.-1.s.42.visible=1
unit.1.8.port.-1.s.43.alias=
unit.1.8.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.43.name=SATA_CORE_FULL
unit.1.8.port.-1.s.43.orderindex=-1
unit.1.8.port.-1.s.43.visible=1
unit.1.8.port.-1.s.5.alias=
unit.1.8.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.5.name=NPI_CORE_DOUT_OUT[1]
unit.1.8.port.-1.s.5.orderindex=-1
unit.1.8.port.-1.s.5.visible=1
unit.1.8.port.-1.s.6.alias=
unit.1.8.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.6.name=NPI_CORE_DOUT_OUT[2]
unit.1.8.port.-1.s.6.orderindex=-1
unit.1.8.port.-1.s.6.visible=1
unit.1.8.port.-1.s.7.alias=
unit.1.8.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.7.name=NPI_CORE_DOUT_OUT[3]
unit.1.8.port.-1.s.7.orderindex=-1
unit.1.8.port.-1.s.7.visible=1
unit.1.8.port.-1.s.8.alias=
unit.1.8.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.8.name=NPI_CORE_DOUT_OUT[4]
unit.1.8.port.-1.s.8.orderindex=-1
unit.1.8.port.-1.s.8.visible=1
unit.1.8.port.-1.s.9.alias=
unit.1.8.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.-1.s.9.name=NPI_CORE_DOUT_OUT[5]
unit.1.8.port.-1.s.9.orderindex=-1
unit.1.8.port.-1.s.9.visible=1
unit.1.8.port.0.b.0.alias=
unit.1.8.port.0.b.0.channellist=0 1 2 3
unit.1.8.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.0.b.0.name=LL_TX_FSM_VALUE_R
unit.1.8.port.0.b.0.orderindex=-1
unit.1.8.port.0.b.0.radix=Hex
unit.1.8.port.0.b.0.signedOffset=0.0
unit.1.8.port.0.b.0.signedPrecision=0
unit.1.8.port.0.b.0.signedScaleFactor=1.0
unit.1.8.port.0.b.0.unsignedOffset=0.0
unit.1.8.port.0.b.0.unsignedPrecision=0
unit.1.8.port.0.b.0.unsignedScaleFactor=1.0
unit.1.8.port.0.b.0.visible=1
unit.1.8.port.0.buscount=1
unit.1.8.port.0.channelcount=4
unit.1.8.port.0.s.0.alias=
unit.1.8.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.0.s.0.name=LL_TX_FSM_VALUE_R[0]
unit.1.8.port.0.s.0.orderindex=-1
unit.1.8.port.0.s.0.visible=1
unit.1.8.port.0.s.1.alias=
unit.1.8.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.0.s.1.name=LL_TX_FSM_VALUE_R[1]
unit.1.8.port.0.s.1.orderindex=-1
unit.1.8.port.0.s.1.visible=1
unit.1.8.port.0.s.2.alias=
unit.1.8.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.0.s.2.name=LL_TX_FSM_VALUE_R[2]
unit.1.8.port.0.s.2.orderindex=-1
unit.1.8.port.0.s.2.visible=1
unit.1.8.port.0.s.3.alias=
unit.1.8.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.0.s.3.name=LL_TX_FSM_VALUE_R[3]
unit.1.8.port.0.s.3.orderindex=-1
unit.1.8.port.0.s.3.visible=1
unit.1.8.port.1.b.0.alias=
unit.1.8.port.1.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
unit.1.8.port.1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.b.0.name=NPI_CORE_DOUT_OUT
unit.1.8.port.1.b.0.orderindex=-1
unit.1.8.port.1.b.0.radix=Hex
unit.1.8.port.1.b.0.signedOffset=0.0
unit.1.8.port.1.b.0.signedPrecision=0
unit.1.8.port.1.b.0.signedScaleFactor=1.0
unit.1.8.port.1.b.0.unsignedOffset=0.0
unit.1.8.port.1.b.0.unsignedPrecision=0
unit.1.8.port.1.b.0.unsignedScaleFactor=1.0
unit.1.8.port.1.b.0.visible=1
unit.1.8.port.1.buscount=1
unit.1.8.port.1.channelcount=32
unit.1.8.port.1.s.0.alias=
unit.1.8.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.0.name=NPI_CORE_DOUT_OUT[0]
unit.1.8.port.1.s.0.orderindex=-1
unit.1.8.port.1.s.0.visible=1
unit.1.8.port.1.s.1.alias=
unit.1.8.port.1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.1.name=NPI_CORE_DOUT_OUT[1]
unit.1.8.port.1.s.1.orderindex=-1
unit.1.8.port.1.s.1.visible=1
unit.1.8.port.1.s.10.alias=
unit.1.8.port.1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.10.name=NPI_CORE_DOUT_OUT[10]
unit.1.8.port.1.s.10.orderindex=-1
unit.1.8.port.1.s.10.visible=1
unit.1.8.port.1.s.11.alias=
unit.1.8.port.1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.11.name=NPI_CORE_DOUT_OUT[11]
unit.1.8.port.1.s.11.orderindex=-1
unit.1.8.port.1.s.11.visible=1
unit.1.8.port.1.s.12.alias=
unit.1.8.port.1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.12.name=NPI_CORE_DOUT_OUT[12]
unit.1.8.port.1.s.12.orderindex=-1
unit.1.8.port.1.s.12.visible=1
unit.1.8.port.1.s.13.alias=
unit.1.8.port.1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.13.name=NPI_CORE_DOUT_OUT[13]
unit.1.8.port.1.s.13.orderindex=-1
unit.1.8.port.1.s.13.visible=1
unit.1.8.port.1.s.14.alias=
unit.1.8.port.1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.14.name=NPI_CORE_DOUT_OUT[14]
unit.1.8.port.1.s.14.orderindex=-1
unit.1.8.port.1.s.14.visible=1
unit.1.8.port.1.s.15.alias=
unit.1.8.port.1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.15.name=NPI_CORE_DOUT_OUT[15]
unit.1.8.port.1.s.15.orderindex=-1
unit.1.8.port.1.s.15.visible=1
unit.1.8.port.1.s.16.alias=
unit.1.8.port.1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.16.name=NPI_CORE_DOUT_OUT[16]
unit.1.8.port.1.s.16.orderindex=-1
unit.1.8.port.1.s.16.visible=1
unit.1.8.port.1.s.17.alias=
unit.1.8.port.1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.17.name=NPI_CORE_DOUT_OUT[17]
unit.1.8.port.1.s.17.orderindex=-1
unit.1.8.port.1.s.17.visible=1
unit.1.8.port.1.s.18.alias=
unit.1.8.port.1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.18.name=NPI_CORE_DOUT_OUT[18]
unit.1.8.port.1.s.18.orderindex=-1
unit.1.8.port.1.s.18.visible=1
unit.1.8.port.1.s.19.alias=
unit.1.8.port.1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.19.name=NPI_CORE_DOUT_OUT[19]
unit.1.8.port.1.s.19.orderindex=-1
unit.1.8.port.1.s.19.visible=1
unit.1.8.port.1.s.2.alias=
unit.1.8.port.1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.2.name=NPI_CORE_DOUT_OUT[2]
unit.1.8.port.1.s.2.orderindex=-1
unit.1.8.port.1.s.2.visible=1
unit.1.8.port.1.s.20.alias=
unit.1.8.port.1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.20.name=NPI_CORE_DOUT_OUT[20]
unit.1.8.port.1.s.20.orderindex=-1
unit.1.8.port.1.s.20.visible=1
unit.1.8.port.1.s.21.alias=
unit.1.8.port.1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.21.name=NPI_CORE_DOUT_OUT[21]
unit.1.8.port.1.s.21.orderindex=-1
unit.1.8.port.1.s.21.visible=1
unit.1.8.port.1.s.22.alias=
unit.1.8.port.1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.22.name=NPI_CORE_DOUT_OUT[22]
unit.1.8.port.1.s.22.orderindex=-1
unit.1.8.port.1.s.22.visible=1
unit.1.8.port.1.s.23.alias=
unit.1.8.port.1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.23.name=NPI_CORE_DOUT_OUT[23]
unit.1.8.port.1.s.23.orderindex=-1
unit.1.8.port.1.s.23.visible=1
unit.1.8.port.1.s.24.alias=
unit.1.8.port.1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.24.name=NPI_CORE_DOUT_OUT[24]
unit.1.8.port.1.s.24.orderindex=-1
unit.1.8.port.1.s.24.visible=1
unit.1.8.port.1.s.25.alias=
unit.1.8.port.1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.25.name=NPI_CORE_DOUT_OUT[25]
unit.1.8.port.1.s.25.orderindex=-1
unit.1.8.port.1.s.25.visible=1
unit.1.8.port.1.s.26.alias=
unit.1.8.port.1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.26.name=NPI_CORE_DOUT_OUT[26]
unit.1.8.port.1.s.26.orderindex=-1
unit.1.8.port.1.s.26.visible=1
unit.1.8.port.1.s.27.alias=
unit.1.8.port.1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.27.name=NPI_CORE_DOUT_OUT[27]
unit.1.8.port.1.s.27.orderindex=-1
unit.1.8.port.1.s.27.visible=1
unit.1.8.port.1.s.28.alias=
unit.1.8.port.1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.28.name=NPI_CORE_DOUT_OUT[28]
unit.1.8.port.1.s.28.orderindex=-1
unit.1.8.port.1.s.28.visible=1
unit.1.8.port.1.s.29.alias=
unit.1.8.port.1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.29.name=NPI_CORE_DOUT_OUT[29]
unit.1.8.port.1.s.29.orderindex=-1
unit.1.8.port.1.s.29.visible=1
unit.1.8.port.1.s.3.alias=
unit.1.8.port.1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.3.name=NPI_CORE_DOUT_OUT[3]
unit.1.8.port.1.s.3.orderindex=-1
unit.1.8.port.1.s.3.visible=1
unit.1.8.port.1.s.30.alias=
unit.1.8.port.1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.30.name=NPI_CORE_DOUT_OUT[30]
unit.1.8.port.1.s.30.orderindex=-1
unit.1.8.port.1.s.30.visible=1
unit.1.8.port.1.s.31.alias=
unit.1.8.port.1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.31.name=NPI_CORE_DOUT_OUT[31]
unit.1.8.port.1.s.31.orderindex=-1
unit.1.8.port.1.s.31.visible=1
unit.1.8.port.1.s.4.alias=
unit.1.8.port.1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.4.name=NPI_CORE_DOUT_OUT[4]
unit.1.8.port.1.s.4.orderindex=-1
unit.1.8.port.1.s.4.visible=1
unit.1.8.port.1.s.5.alias=
unit.1.8.port.1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.5.name=NPI_CORE_DOUT_OUT[5]
unit.1.8.port.1.s.5.orderindex=-1
unit.1.8.port.1.s.5.visible=1
unit.1.8.port.1.s.6.alias=
unit.1.8.port.1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.6.name=NPI_CORE_DOUT_OUT[6]
unit.1.8.port.1.s.6.orderindex=-1
unit.1.8.port.1.s.6.visible=1
unit.1.8.port.1.s.7.alias=
unit.1.8.port.1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.7.name=NPI_CORE_DOUT_OUT[7]
unit.1.8.port.1.s.7.orderindex=-1
unit.1.8.port.1.s.7.visible=1
unit.1.8.port.1.s.8.alias=
unit.1.8.port.1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.8.name=NPI_CORE_DOUT_OUT[8]
unit.1.8.port.1.s.8.orderindex=-1
unit.1.8.port.1.s.8.visible=1
unit.1.8.port.1.s.9.alias=
unit.1.8.port.1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.1.s.9.name=NPI_CORE_DOUT_OUT[9]
unit.1.8.port.1.s.9.orderindex=-1
unit.1.8.port.1.s.9.visible=1
unit.1.8.port.2.b.0.alias=
unit.1.8.port.2.b.0.channellist=0 1 2 3 4 5 6 7
unit.1.8.port.2.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.2.b.0.name=TRIG2
unit.1.8.port.2.b.0.orderindex=-1
unit.1.8.port.2.b.0.radix=Hex
unit.1.8.port.2.b.0.signedOffset=0.0
unit.1.8.port.2.b.0.signedPrecision=0
unit.1.8.port.2.b.0.signedScaleFactor=1.0
unit.1.8.port.2.b.0.unsignedOffset=0.0
unit.1.8.port.2.b.0.unsignedPrecision=0
unit.1.8.port.2.b.0.unsignedScaleFactor=1.0
unit.1.8.port.2.b.0.visible=1
unit.1.8.port.2.buscount=1
unit.1.8.port.2.channelcount=8
unit.1.8.port.2.s.0.alias=
unit.1.8.port.2.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.2.s.0.name=CORE_RFD
unit.1.8.port.2.s.0.orderindex=-1
unit.1.8.port.2.s.0.visible=1
unit.1.8.port.2.s.1.alias=
unit.1.8.port.2.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.2.s.1.name=RD_REQ_START
unit.1.8.port.2.s.1.orderindex=-1
unit.1.8.port.2.s.1.visible=1
unit.1.8.port.2.s.2.alias=
unit.1.8.port.2.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.2.s.2.name=RD_REQ_DONE
unit.1.8.port.2.s.2.orderindex=-1
unit.1.8.port.2.s.2.visible=1
unit.1.8.port.2.s.3.alias=
unit.1.8.port.2.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.2.s.3.name=NPI_CORE_DOUT_WE_OUT
unit.1.8.port.2.s.3.orderindex=-1
unit.1.8.port.2.s.3.visible=1
unit.1.8.port.2.s.4.alias=
unit.1.8.port.2.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.2.s.4.name=TX_FIFO_RE
unit.1.8.port.2.s.4.orderindex=-1
unit.1.8.port.2.s.4.visible=1
unit.1.8.port.2.s.5.alias=
unit.1.8.port.2.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.2.s.5.name=TX_FIFO_EMPTY
unit.1.8.port.2.s.5.orderindex=-1
unit.1.8.port.2.s.5.visible=1
unit.1.8.port.2.s.6.alias=
unit.1.8.port.2.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.2.s.6.name=TX_FIFO_PROG_FULL
unit.1.8.port.2.s.6.orderindex=-1
unit.1.8.port.2.s.6.visible=1
unit.1.8.port.2.s.7.alias=
unit.1.8.port.2.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.1.8.port.2.s.7.name=SATA_CORE_FULL
unit.1.8.port.2.s.7.orderindex=-1
unit.1.8.port.2.s.7.visible=1
unit.1.8.portcount=3
unit.1.8.rep_trigger.clobber=1
unit.1.8.rep_trigger.dir=/home/aamendon/reports/phdproposals/aamendon/code/LANL/SATA_NCQ/base_NPI/ChipScope
unit.1.8.rep_trigger.filename=waveform
unit.1.8.rep_trigger.format=ASCII
unit.1.8.rep_trigger.loggingEnabled=0
unit.1.8.rep_trigger.signals=All Signals/Buses
unit.1.8.samplesPerTrigger=1
unit.1.8.triggerCapture=1
unit.1.8.triggerNSamplesTS=0
unit.1.8.triggerPosition=700
unit.1.8.triggerWindowCount=1
unit.1.8.triggerWindowDepth=1024
unit.1.8.triggerWindowTS=0
unit.1.8.username=NPI_IF_TX_ILA
unit.1.8.waveform.count=10
unit.1.8.waveform.posn.0.channel=2147483646
unit.1.8.waveform.posn.0.name=NPI_CORE_DOUT_OUT
unit.1.8.waveform.posn.0.radix=4
unit.1.8.waveform.posn.0.type=bus
unit.1.8.waveform.posn.1.channel=2147483646
unit.1.8.waveform.posn.1.name=LL_TX_FSM_VALUE_R
unit.1.8.waveform.posn.1.radix=1
unit.1.8.waveform.posn.1.type=bus
unit.1.8.waveform.posn.10.channel=43
unit.1.8.waveform.posn.10.name=DataPort[43]
unit.1.8.waveform.posn.10.type=signal
unit.1.8.waveform.posn.11.channel=43
unit.1.8.waveform.posn.11.name=DataPort[43]
unit.1.8.waveform.posn.11.type=signal
unit.1.8.waveform.posn.12.channel=43
unit.1.8.waveform.posn.12.name=DataPort[43]
unit.1.8.waveform.posn.12.type=signal
unit.1.8.waveform.posn.13.channel=43
unit.1.8.waveform.posn.13.name=DataPort[43]
unit.1.8.waveform.posn.13.type=signal
unit.1.8.waveform.posn.14.channel=43
unit.1.8.waveform.posn.14.name=DataPort[43]
unit.1.8.waveform.posn.14.type=signal
unit.1.8.waveform.posn.15.channel=43
unit.1.8.waveform.posn.15.name=DataPort[43]
unit.1.8.waveform.posn.15.type=signal
unit.1.8.waveform.posn.16.channel=43
unit.1.8.waveform.posn.16.name=DataPort[43]
unit.1.8.waveform.posn.16.type=signal
unit.1.8.waveform.posn.17.channel=43
unit.1.8.waveform.posn.17.name=DataPort[43]
unit.1.8.waveform.posn.17.type=signal
unit.1.8.waveform.posn.18.channel=43
unit.1.8.waveform.posn.18.name=DataPort[43]
unit.1.8.waveform.posn.18.type=signal
unit.1.8.waveform.posn.19.channel=43
unit.1.8.waveform.posn.19.name=DataPort[43]
unit.1.8.waveform.posn.19.type=signal
unit.1.8.waveform.posn.2.channel=36
unit.1.8.waveform.posn.2.name=CORE_RFD
unit.1.8.waveform.posn.2.radix=1
unit.1.8.waveform.posn.2.type=signal
unit.1.8.waveform.posn.20.channel=43
unit.1.8.waveform.posn.20.name=DataPort[43]
unit.1.8.waveform.posn.20.type=signal
unit.1.8.waveform.posn.21.channel=43
unit.1.8.waveform.posn.21.name=DataPort[43]
unit.1.8.waveform.posn.21.type=signal
unit.1.8.waveform.posn.22.channel=43
unit.1.8.waveform.posn.22.name=DataPort[43]
unit.1.8.waveform.posn.22.type=signal
unit.1.8.waveform.posn.23.channel=43
unit.1.8.waveform.posn.23.name=DataPort[43]
unit.1.8.waveform.posn.23.type=signal
unit.1.8.waveform.posn.24.channel=43
unit.1.8.waveform.posn.24.name=DataPort[43]
unit.1.8.waveform.posn.24.type=signal
unit.1.8.waveform.posn.25.channel=43
unit.1.8.waveform.posn.25.name=DataPort[43]
unit.1.8.waveform.posn.25.type=signal
unit.1.8.waveform.posn.26.channel=43
unit.1.8.waveform.posn.26.name=DataPort[43]
unit.1.8.waveform.posn.26.type=signal
unit.1.8.waveform.posn.27.channel=43
unit.1.8.waveform.posn.27.name=DataPort[43]
unit.1.8.waveform.posn.27.type=signal
unit.1.8.waveform.posn.28.channel=43
unit.1.8.waveform.posn.28.name=DataPort[43]
unit.1.8.waveform.posn.28.type=signal
unit.1.8.waveform.posn.29.channel=43
unit.1.8.waveform.posn.29.name=DataPort[43]
unit.1.8.waveform.posn.29.type=signal
unit.1.8.waveform.posn.3.channel=37
unit.1.8.waveform.posn.3.name=RD_REQ_START
unit.1.8.waveform.posn.3.type=signal
unit.1.8.waveform.posn.30.channel=43
unit.1.8.waveform.posn.30.name=DataPort[43]
unit.1.8.waveform.posn.30.type=signal
unit.1.8.waveform.posn.31.channel=43
unit.1.8.waveform.posn.31.name=DataPort[43]
unit.1.8.waveform.posn.31.type=signal
unit.1.8.waveform.posn.32.channel=43
unit.1.8.waveform.posn.32.name=DataPort[43]
unit.1.8.waveform.posn.32.type=signal
unit.1.8.waveform.posn.33.channel=43
unit.1.8.waveform.posn.33.name=DataPort[43]
unit.1.8.waveform.posn.33.type=signal
unit.1.8.waveform.posn.34.channel=43
unit.1.8.waveform.posn.34.name=DataPort[43]
unit.1.8.waveform.posn.34.type=signal
unit.1.8.waveform.posn.35.channel=43
unit.1.8.waveform.posn.35.name=DataPort[43]
unit.1.8.waveform.posn.35.type=signal
unit.1.8.waveform.posn.36.channel=43
unit.1.8.waveform.posn.36.name=DataPort[43]
unit.1.8.waveform.posn.36.type=signal
unit.1.8.waveform.posn.37.channel=43
unit.1.8.waveform.posn.37.name=DataPort[43]
unit.1.8.waveform.posn.37.type=signal
unit.1.8.waveform.posn.38.channel=43
unit.1.8.waveform.posn.38.name=DataPort[43]
unit.1.8.waveform.posn.38.type=signal
unit.1.8.waveform.posn.39.channel=43
unit.1.8.waveform.posn.39.name=DataPort[43]
unit.1.8.waveform.posn.39.type=signal
unit.1.8.waveform.posn.4.channel=38
unit.1.8.waveform.posn.4.name=RD_REQ_DONE
unit.1.8.waveform.posn.4.type=signal
unit.1.8.waveform.posn.40.channel=43
unit.1.8.waveform.posn.40.name=DataPort[43]
unit.1.8.waveform.posn.40.type=signal
unit.1.8.waveform.posn.41.channel=43
unit.1.8.waveform.posn.41.name=DataPort[43]
unit.1.8.waveform.posn.41.type=signal
unit.1.8.waveform.posn.42.channel=43
unit.1.8.waveform.posn.42.name=DataPort[43]
unit.1.8.waveform.posn.42.type=signal
unit.1.8.waveform.posn.43.channel=43
unit.1.8.waveform.posn.43.name=DataPort[43]
unit.1.8.waveform.posn.43.type=signal
unit.1.8.waveform.posn.5.channel=39
unit.1.8.waveform.posn.5.name=NPI_CORE_DOUT_WE_OUT
unit.1.8.waveform.posn.5.type=signal
unit.1.8.waveform.posn.6.channel=40
unit.1.8.waveform.posn.6.name=TX_FIFO_RE
unit.1.8.waveform.posn.6.type=signal
unit.1.8.waveform.posn.7.channel=41
unit.1.8.waveform.posn.7.name=TX_FIFO_EMPTY
unit.1.8.waveform.posn.7.type=signal
unit.1.8.waveform.posn.8.channel=42
unit.1.8.waveform.posn.8.name=TX_FIFO_PROG_FULL
unit.1.8.waveform.posn.8.type=signal
unit.1.8.waveform.posn.9.channel=43
unit.1.8.waveform.posn.9.name=SATA_CORE_FULL
unit.1.8.waveform.posn.9.type=signal

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.