OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [toolchain/] [scarts-gdb/] [gdb-6.8/] [sim/] [testsuite/] [sim/] [frv/] [bvlr.cgs] - Rev 26

Compare with Previous | Blame | View Log

# frv testcase for bvlr $ICCi,$hint
# mach: all

        .include "testutils.inc"

        start

        .global bvlr
bvlr:
        set_spr_addr    bad,lr
        set_icc         0x0 0
        bvlr            icc0,0

        set_spr_addr    bad,lr
        set_icc         0x1 1
        bvlr            icc1,1

        set_spr_addr    ok3,lr
        set_icc         0x2 2
        bvlr            icc2,2
        fail
ok3:
        set_spr_addr    ok4,lr
        set_icc         0x3 3
        bvlr            icc3,3
        fail
ok4:
        set_spr_addr    bad,lr
        set_icc         0x4 0
        bvlr            icc0,0

        set_spr_addr    bad,lr
        set_icc         0x5 1
        bvlr            icc1,1

        set_spr_addr    ok7,lr
        set_icc         0x6 2
        bvlr            icc2,2
        fail
ok7:
        set_spr_addr    ok8,lr
        set_icc         0x7 3
        bvlr            icc3,3
        fail
ok8:
        set_spr_addr    bad,lr
        set_icc         0x8 0
        bvlr            icc0,0

        set_spr_addr    bad,lr
        set_icc         0x9 1
        bvlr            icc1,1

        set_spr_addr    okb,lr
        set_icc         0xa 2
        bvlr            icc2,2
        fail
okb:
        set_spr_addr    okc,lr
        set_icc         0xb 3
        bvlr            icc3,3
        fail
okc:
        set_spr_addr    bad,lr
        set_icc         0xc 0
        bvlr            icc0,0

        set_spr_addr    bad,lr
        set_icc         0xd 1
        bvlr            icc1,1

        set_spr_addr    okf,lr
        set_icc         0xe 2
        bvlr            icc2,2
        fail
okf:
        set_spr_addr    okg,lr
        set_icc         0xf 3
        bvlr            icc3,3
        fail
okg:
        pass
bad:
        fail

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.