OpenCores
URL https://opencores.org/ocsvn/simple_agc/simple_agc/trunk

Subversion Repositories simple_agc

[/] [simple_agc/] [trunk/] [sine.txt] - Rev 2

Compare with Previous | Blame | View Log

 80
 83
 86
 89
 8c
 8f
 92
 95
 98
 9b
 9e
 a2
 a5
 a7
 aa
 ad
 b0
 b3
 b6
 b9
 bc
 be
 c1
 c4
 c6
 c9
 cb
 ce
 d0
 d3
 d5
 d7
 da
 dc
 de
 e0
 e2
 e4
 e6
 e8
 ea
 eb
 ed
 ee
 f0
 f1
 f3
 f4
 f5
 f6
 f8
 f9
 fa
 fa
 fb
 fc
 fd
 fd
 fe
 fe
 fe
 ff
 ff
 ff
 ff
 ff
 ff
 ff
 fe
 fe
 fe
 fd
 fd
 fc
 fb
 fa
 fa
 f9
 f8
 f6
 f5
 f4
 f3
 f1
 f0
 ee
 ed
 eb
 ea
 e8
 e6
 e4
 e2
 e0
 de
 dc
 da
 d7
 d5
 d3
 d0
 ce
 cb
 c9
 c6
 c4
 c1
 be
 bc
 b9
 b6
 b3
 b0
 ad
 aa
 a7
 a5
 a2
 9e
 9b
 98
 95
 92
 8f
 8c
 89
 86
 83
 80
 7c
 79
 76
 73
 70
 6d
 6a
 67
 64
 61
 5d
 5a
 58
 55
 52
 4f
 4c
 49
 46
 43
 41
 3e
 3b
 39
 36
 34
 31
 2f
 2c
 2a
 28
 25
 23
 21
 1f
 1d
 1b
 19
 17
 15
 14
 12
 11
 f
 e
 c
 b
 a
 9
 7
 6
 5
 5
 4
 3
 2
 2
 1
 1
 1
 0
 0
 0
 0
 0
 0
 0
 1
 1
 1
 2
 2
 3
 4
 5
 5
 6
 7
 9
 a
 b
 c
 e
 f
 11
 12
 14
 15
 17
 19
 1b
 1d
 1f
 21
 23
 25
 28
 2a
 2c
 2f
 31
 34
 36
 39
 3b
 3e
 41
 43
 46
 49
 4c
 4f
 52
 55
 58
 5a
 5d
 61
 64
 67
 6a
 6d
 70
 73
 76
 79
 7c

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.