OpenCores
URL https://opencores.org/ocsvn/sincos/sincos/trunk

Subversion Repositories sincos

[/] [sincos/] [trunk/] [vhdl/] [arith/] [sincos/] [sincos_tb.do] - Rev 46

Go to most recent revision | Compare with Previous | Blame | View Log

vcom -work work -2002 -explicit D:/lib/vhdl/tb/clk_rst/clk_rst.vhd
vcom -work work -2002 -explicit D:/lib/vhdl/msi/pipestage/pipestage.vhd
vcom -work work -2002 -explicit D:/lib/vhdl/arith/sincos/sincos.vhd
vcom -work work -2002 -explicit D:/lib/vhdl/arith/sincos/sincos_tb.vhd
vsim work.sincos_tb
log -r /*
do sincos_tb_wave.do
run 2800 ns

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.