OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [digilentinc.com/] [Nexys2/] [ip/] [fpga/] [rtl/] [xml/] [Nexys2_fpga_design.xml] - Rev 135

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="utf-8"?>
<!--
//                                                                        //
// Author : John Eaton  Ouabache Designworks                              //
//                                                                        //
//   Copyright (C) 2010 Authors and OPENCORES.ORG                         //
//                                                                        //
//   This source file may be used and distributed without                 //
//   restriction provided that this copyright statement is not            //
//   removed from the file and that any derivative work contains          //
//   the original copyright notice and the associated disclaimer.         //
//                                                                        //
//   This source file is free software; you can redistribute it           //
//   and/or modify it under the terms of the GNU Lesser General           //
//   Public License as published by the Free Software Foundation;         //
//   either version 2.1 of the License, or (at your option) any           //
//   later version.                                                       //
//                                                                        //
//   This source is distributed in the hope that it will be               //
//   useful, but WITHOUT ANY WARRANTY; without even the implied           //
//   warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR              //
//   PURPOSE. See the GNU Lesser General Public License for more          //
//   details.                                                             //
//                                                                        //
//   You should have received a copy of the GNU Lesser General            //
//   Public License along with this source; if not, download it           //
//   from http://www.opencores.org/lgpl.shtml                             //
//                                                                        //
-->
<ipxact:design 
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>digilentinc.com</ipxact:vendor>
<ipxact:library>Nexys2</ipxact:library>
<ipxact:name>fpga</ipxact:name>
<ipxact:version>design</ipxact:version>  




  <ipxact:adHocConnections>
    <ipxact:adHocConnection>
      <ipxact:name>clk</ipxact:name>
      <ipxact:portReferences>
      <ipxact:externalPortReference portRef="clk"/>
      <ipxact:internalPortReference componentRef="core" portRef="clk"/>
      <ipxact:internalPortReference componentRef="clock_sys" portRef="div_clk_out"/>
    </ipxact:portReferences>
    </ipxact:adHocConnection>

    
    <ipxact:adHocConnection>
      <ipxact:name>reset</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="reset"/>
      <ipxact:internalPortReference componentRef="core" portRef="reset"/>
      <ipxact:internalPortReference componentRef="clock_sys" portRef="reset"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>one_usec</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="one_usec"/>
      <ipxact:internalPortReference componentRef="core" portRef="one_usec"/>
      <ipxact:internalPortReference componentRef="clock_sys" portRef="one_usec"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>


    <ipxact:adHocConnection>
      <ipxact:name>jtag_capture_dr</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="jtag_capture_dr"/>
      <ipxact:internalPortReference componentRef="core" portRef="jtag_capture_dr"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jtag_select</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="jtag_select"  />
      <ipxact:internalPortReference componentRef="core" portRef="jtag_select"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>



    <ipxact:adHocConnection>
      <ipxact:name>jtag_shift_dr</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="jtag_shift_dr"/>
      <ipxact:internalPortReference componentRef="core" portRef="jtag_shift_dr"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>



    <ipxact:adHocConnection>
      <ipxact:name>jtag_shiftcapture_dr_clk</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="jtag_shiftcapture_dr_clk"    />
      <ipxact:internalPortReference componentRef="core" portRef="jtag_shiftcapture_dr_clk"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jtag_tdi</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="jtag_tdi"/>
      <ipxact:internalPortReference componentRef="core" portRef="jtag_tdi"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jtag_tdo</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="jtag_tdo"    />
      <ipxact:internalPortReference componentRef="core" portRef="jtag_tdo"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jtag_test_logic_reset</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="jtag_test_logic_reset"/>
      <ipxact:internalPortReference componentRef="core" portRef="jtag_test_logic_reset"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jtag_update_dr_clk</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="jtag_update_dr_clk"/>
      <ipxact:internalPortReference componentRef="core" portRef="jtag_update_dr_clk"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>






    <ipxact:adHocConnection>
      <ipxact:name>aux_jtag_capture_dr</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="aux_jtag_capture_dr"/>
      <ipxact:internalPortReference componentRef="core" portRef="aux_jtag_capture_dr"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>aux_jtag_select</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="aux_jtag_select"  />
      <ipxact:internalPortReference componentRef="core" portRef="aux_jtag_select"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>



    <ipxact:adHocConnection>
      <ipxact:name>aux_jtag_shift_dr</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="aux_jtag_shift_dr"/>
      <ipxact:internalPortReference componentRef="core" portRef="aux_jtag_shift_dr"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>



    <ipxact:adHocConnection>
      <ipxact:name>aux_jtag_shiftcapture_dr_clk</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="aux_jtag_shiftcapture_dr_clk"    />
      <ipxact:internalPortReference componentRef="core" portRef="aux_jtag_shiftcapture_dr_clk"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>aux_jtag_tdi</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="aux_jtag_tdi"/>
      <ipxact:internalPortReference componentRef="core" portRef="aux_jtag_tdi"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>aux_jtag_tdo</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="aux_jtag_tdo"    />
      <ipxact:internalPortReference componentRef="core" portRef="aux_jtag_tdo"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>aux_jtag_test_logic_reset</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="aux_jtag_test_logic_reset"/>
      <ipxact:internalPortReference componentRef="core" portRef="aux_jtag_test_logic_reset"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>aux_jtag_update_dr_clk</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef="aux_jtag_update_dr_clk"/>
      <ipxact:internalPortReference componentRef="core" portRef="aux_jtag_update_dr_clk"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>









    <ipxact:adHocConnection>
      <ipxact:name>a_clk_pad_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="clock_sys" portRef="a_clk_pad_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>b_clk_pad_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="clock_sys" portRef="b_clk_pad_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>uart_cts_pad_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="clock_sys" portRef="pwron_pad_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>



    <ipxact:adHocConnection>
      <ipxact:name>memadr_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="memadr_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>memdb_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="memdb_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>memdb_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="memdb_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>memdb_oe</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="memdb_oe"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ramclk_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ramclk_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ramcre_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ramcre_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>memoe_n_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="memoe_n_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ramcs_n_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ramcs_n_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ramlb_n_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ramlb_n_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>flashcs_n_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="flashcs_n_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>flashrp_n_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="flashrp_n_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>flashststs_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="flashststs_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ramwait_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ramwait_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ramadv_n_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ramadv_n_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ramub_n_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ramub_n_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>memwr_n_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="memwr_n_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ps2_data_pad_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ps2_data_pad_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ps2_data_pad_oe</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ps2_data_pad_oe"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ps2_clk_pad_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ps2_clk_pad_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ps2_clk_pad_oe</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ps2_clk_pad_oe"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>



    <ipxact:adHocConnection>
      <ipxact:name>uart_rxd_pad_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="uart_rxd_pad_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>uart_rts_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="rts_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>uart_cts_pad_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="cts_pad_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>uart_txd_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="uart_txd_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>vga_red_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="vga_red_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>vga_green_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="vga_green_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>vga_blue_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="vga_blue_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>vga_hsync_n_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="vga_hsync_n_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>vga_vsync_n_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="vga_vsync_n_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>btn_pad_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="btn_pad_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>sw_pad_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="sw_pad_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>led_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="led_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>seg_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="seg_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>an_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="an_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>dp_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="dp_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>eppastb_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="eppastb_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>eppdstb_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="eppdstb_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbflag_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbflag_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>eppwait_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="eppwait_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>eppwait_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="eppwait_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>eppwait_oe</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="eppwait_oe"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbwr_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbwr_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbwr_oe</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbwr_oe"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbwr_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbwr_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbmode_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbmode_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbmode_oe</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbmode_oe"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbmode_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbmode_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usboe_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usboe_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usboe_oe</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usboe_oe"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usboe_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usboe_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbadr_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbadr_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbadr_oe</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbadr_oe"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbadr_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbadr_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbpktend_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbpktend_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbpktend_oe</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbpktend_oe"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbpktend_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbpktend_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbdir_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbdir_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbdir_oe</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbdir_oe"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbdir_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbdir_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>eppdb_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="eppdb_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>eppdb_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="eppdb_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>eppdb_oe</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="eppdb_oe"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>eppwr_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="eppwr_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbclk_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbclk_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbclk_oe</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbclk_oe"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbclk_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbclk_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>usbrdy_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="usbrdy_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ja_1_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ja_1_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ja_2_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ja_2_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ja_3_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ja_3_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ja_4_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ja_4_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ja_7_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ja_7_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ja_8_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ja_8_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ja_9_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ja_9_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>ja_10_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="ja_10_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>


    <ipxact:adHocConnection>
      <ipxact:name>jb_1_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jb_1_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jb_2_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jb_2_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jb_3_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jb_3_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jb_4_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jb_4_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jb_7_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jb_7_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jb_8_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jb_8_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jb_9_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jb_9_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jb_10_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jb_10_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>


    <ipxact:adHocConnection>
      <ipxact:name>jc_1_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jc_1_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jc_2_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jc_2_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jc_3_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jc_3_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jc_4_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jc_4_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jc_7_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jc_7_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jc_8_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jc_8_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jc_9_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jc_9_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>jc_10_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="jc_10_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>rs_tx_pad_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="rs_tx_pad_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>rs_rx_pad_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="rs_rx_pad_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>pio_in</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="pio_in"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>pio_out</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="pio_out"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

    <ipxact:adHocConnection>
      <ipxact:name>pio_oe</ipxact:name>
           <ipxact:portReferences>
      <ipxact:externalPortReference  portRef=""/>
      <ipxact:internalPortReference componentRef="core" portRef="pio_oe"/>
        </ipxact:portReferences>
    </ipxact:adHocConnection>

  </ipxact:adHocConnections>




 <ipxact:interconnections>




    <ipxact:interconnection>
      <ipxact:name>A_CLK</ipxact:name>
       <ipxact:activeInterface componentRef="a_clk_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>A_CLK</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
       </ipxact:activeInterface>
        <ipxact:hierInterface busRef="A_CLK"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>B_CLK</ipxact:name>
       <ipxact:activeInterface componentRef="b_clk_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>B_CLK</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
       </ipxact:activeInterface>
       <ipxact:hierInterface busRef="B_CLK"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>SW</ipxact:name>
       <ipxact:activeInterface componentRef="sw_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>SW</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="SW"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>BTN</ipxact:name>
       <ipxact:activeInterface componentRef="btn_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>BTN</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>3</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="BTN"/>




    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>LED</ipxact:name>
       <ipxact:activeInterface componentRef="led_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>LED</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="LED"/>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>SEG</ipxact:name>
       <ipxact:activeInterface componentRef="seg_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>SEG</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>6</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="SEG"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>DP</ipxact:name>
       <ipxact:activeInterface componentRef="dp_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>DP</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="DP"/>
    </ipxact:interconnection>







    <ipxact:interconnection>
      <ipxact:name>AN</ipxact:name>
       <ipxact:activeInterface componentRef="an_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>AN</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>3</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="AN"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>VGARED</ipxact:name>
       <ipxact:activeInterface componentRef="vga_red_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>VGARED</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>2</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="VGARED"/>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>VGAGREEN</ipxact:name>
       <ipxact:activeInterface componentRef="vga_green_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>VGAGREEN</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>2</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="VGAGREEN"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>VGABLUE</ipxact:name>
       <ipxact:activeInterface componentRef="vga_blue_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>VGABLUE</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="VGABLUE"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>HSYNC_N</ipxact:name>
       <ipxact:activeInterface componentRef="vga_hsync_n_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>HSYNC_N</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="HSYNC_N"/>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>VSYNC_N</ipxact:name>
       <ipxact:activeInterface componentRef="vga_vsync_n_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>VSYNC_N</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="VSYNC_N"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>PS2C</ipxact:name>
       <ipxact:activeInterface componentRef="ps2_clk_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>PS2C</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="PS2C"/>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>PS2D</ipxact:name>
       <ipxact:activeInterface componentRef="ps2_data_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>PS2D</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="PS2D"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>RTS</ipxact:name>
       <ipxact:activeInterface componentRef="rts_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>RTS</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="RTS"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>TXD</ipxact:name>
       <ipxact:activeInterface componentRef="txd_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>TXD</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="TXD"/>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>CTS</ipxact:name>
       <ipxact:activeInterface componentRef="cts_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>CTS</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="CTS"/>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>RXD</ipxact:name>
       <ipxact:activeInterface componentRef="rxd_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>RXD</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="RXD"/>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>RS_RX</ipxact:name>
       <ipxact:activeInterface componentRef="rs_rx_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>RS_RX</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="RS_RX"/>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>RS_TX</ipxact:name>
       <ipxact:activeInterface componentRef="rs_tx_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>RS_TX</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="RS_TX"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>JA_1</ipxact:name>
       <ipxact:activeInterface componentRef="ja_1_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JA_1</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JA_1"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JA_2</ipxact:name>
       <ipxact:activeInterface componentRef="ja_2_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JA_2</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JA_2"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JA_3</ipxact:name>
       <ipxact:activeInterface componentRef="ja_3_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JA_3</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JA_3"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JA_4</ipxact:name>
       <ipxact:activeInterface componentRef="ja_4_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JA_4</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JA_4"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JA_7</ipxact:name>
       <ipxact:activeInterface componentRef="ja_7_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JA_7</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JA_7"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JA_8</ipxact:name>
       <ipxact:activeInterface componentRef="ja_8_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JA_8</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JA_8"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JA_9</ipxact:name>
       <ipxact:activeInterface componentRef="ja_9_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JA_9</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JA_9"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JA_10</ipxact:name>
       <ipxact:activeInterface componentRef="ja_10_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JA_10</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JA_10"/>
    </ipxact:interconnection>






    <ipxact:interconnection>
      <ipxact:name>JB_1</ipxact:name>
       <ipxact:activeInterface componentRef="jb_1_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JB_1</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JB_1"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JB_2</ipxact:name>
       <ipxact:activeInterface componentRef="jb_2_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JB_2</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JB_2"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JB_3</ipxact:name>
       <ipxact:activeInterface componentRef="jb_3_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JB_3</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JB_3"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JB_4</ipxact:name>
       <ipxact:activeInterface componentRef="jb_4_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JB_4</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JB_4"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JB_7</ipxact:name>
       <ipxact:activeInterface componentRef="jb_7_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JB_7</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JB_7"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JB_8</ipxact:name>
       <ipxact:activeInterface componentRef="jb_8_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JB_8</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JB_8"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JB_9</ipxact:name>
       <ipxact:activeInterface componentRef="jb_9_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JB_9</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JB_9"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JB_10</ipxact:name>
       <ipxact:activeInterface componentRef="jb_10_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JB_10</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JB_10"/>
    </ipxact:interconnection>





    <ipxact:interconnection>
      <ipxact:name>JC_1</ipxact:name>
       <ipxact:activeInterface componentRef="jc_1_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JC_1</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JC_1"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JC_2</ipxact:name>
       <ipxact:activeInterface componentRef="jc_2_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JC_2</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JC_2"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JC_3</ipxact:name>
       <ipxact:activeInterface componentRef="jc_3_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JC_3</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JC_3"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JC_4</ipxact:name>
       <ipxact:activeInterface componentRef="jc_4_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JC_4</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JC_4"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JC_7</ipxact:name>
       <ipxact:activeInterface componentRef="jc_7_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JC_7</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JC_7"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JC_8</ipxact:name>
       <ipxact:activeInterface componentRef="jc_8_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JC_8</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JC_8"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JC_9</ipxact:name>
       <ipxact:activeInterface componentRef="jc_9_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JC_9</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JC_9"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>JC_10</ipxact:name>
       <ipxact:activeInterface componentRef="jc_10_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>JC_10</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="JC_10"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>MEMADR</ipxact:name>
       <ipxact:activeInterface componentRef="memadr_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>MEMADR</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>23</ipxact:left><ipxact:right>1</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="MEMADR"/>
    </ipxact:interconnection>





    <ipxact:interconnection>
      <ipxact:name>MEMDB</ipxact:name>

       <ipxact:activeInterface componentRef="memdb_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>MEMDB</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="MEMDB"/>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>MEMOE</ipxact:name>
       <ipxact:activeInterface componentRef="memoe_n_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>MEMOE</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="MEMOE"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>MEMWR</ipxact:name>
       <ipxact:activeInterface componentRef="memwr_n_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>MEMWR</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="MEMWR"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>RAMADV</ipxact:name>
       <ipxact:activeInterface componentRef="ramadv_n_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>RAMADV</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="RAMADV"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>RAMCLK</ipxact:name>
       <ipxact:activeInterface componentRef="ramclk_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>RAMCLK</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="RAMCLK"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>RAMUB</ipxact:name>
       <ipxact:activeInterface componentRef="ramub_n_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>RAMUB</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="RAMUB"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>RAMLB</ipxact:name>
       <ipxact:activeInterface componentRef="ramlb_n_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>RAMLB</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="RAMLB"/>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>RAMCS</ipxact:name>
       <ipxact:activeInterface componentRef="ramcs_n_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>RAMCS</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="RAMCS"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>RAMCRE</ipxact:name>
       <ipxact:activeInterface componentRef="ramcre_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>RAMCRE</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="RAMCRE"/>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>RAMWAIT</ipxact:name>
       <ipxact:activeInterface componentRef="ramwait_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>RAMWAIT</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="RAMWAIT"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>FLASHSTSTS</ipxact:name>
       <ipxact:activeInterface componentRef="flashststs_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>FLASHSTSTS</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="FLASHSTSTS"/>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>FLASHRP</ipxact:name>
       <ipxact:activeInterface componentRef="flashrp_n_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>FLASHRP</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="FLASHRP"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>FLASHCS</ipxact:name>
       <ipxact:activeInterface componentRef="flashcs_n_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>FLASHCS</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="FLASHCS"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>EPPASTB</ipxact:name>
       <ipxact:activeInterface componentRef="eppastb_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>EPPASTB</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="EPPASTB"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>EPPDSTB</ipxact:name>
       <ipxact:activeInterface componentRef="eppdstb_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>EPPDSTB</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="EPPDSTB"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>USBFLAG</ipxact:name>
       <ipxact:activeInterface componentRef="usbflag_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>USBFLAG</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="USBFLAG"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>EPPWAIT</ipxact:name>
       <ipxact:activeInterface componentRef="eppwait_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>EPPWAIT</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="EPPWAIT"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>USBWR</ipxact:name>
       <ipxact:activeInterface componentRef="usbwr_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>USBWR</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="USBWR"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>USBMODE</ipxact:name>
       <ipxact:activeInterface componentRef="usbmode_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>USBMODE</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="USBMODE"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>USBOE</ipxact:name>
       <ipxact:activeInterface componentRef="usboe_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>USBOE</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="USBOE"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>USBPKTEND</ipxact:name>
       <ipxact:activeInterface componentRef="usbpktend_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>USBPKTEND</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="USBPKTEND"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>USBDIR</ipxact:name>
       <ipxact:activeInterface componentRef="usbdir_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>USBDIR</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="USBDIR"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>USBCLK</ipxact:name>
       <ipxact:activeInterface componentRef="usbclk_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>USBCLK</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="USBCLK"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>USBRDY</ipxact:name>
       <ipxact:activeInterface componentRef="usbrdy_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>USBRDY</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="USBRDY"/>
    </ipxact:interconnection>






    <ipxact:interconnection>
      <ipxact:name>USBADR</ipxact:name>

       <ipxact:activeInterface componentRef="usbadr_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>USBADR</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="USBADR"/>




    </ipxact:interconnection>







    <ipxact:interconnection>
      <ipxact:name>EPPDB</ipxact:name>
       <ipxact:activeInterface componentRef="eppdb_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>EPPDB</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="EPPDB"/>
    </ipxact:interconnection>







    <ipxact:interconnection>
      <ipxact:name>PIO</ipxact:name>

       <ipxact:activeInterface componentRef="pio_pad" busRef="pad_ring">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>PIO</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
       <ipxact:hierInterface busRef="PIO"/>



    </ipxact:interconnection>







    <ipxact:interconnection>
      <ipxact:name>a_clk</ipxact:name>
      <ipxact:activeInterface componentRef="a_clk_pad" busRef="pad">
      </ipxact:activeInterface>

    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>b_clk</ipxact:name>
      <ipxact:activeInterface componentRef="b_clk_pad" busRef="pad">
      </ipxact:activeInterface>

    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>led</ipxact:name>

      <ipxact:activeInterface componentRef="led_pad" busRef="pad">
        <ipxact:portMaps>

          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort>
          <ipxact:wire><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>


    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>seg</ipxact:name>


      <ipxact:activeInterface componentRef="seg_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort>
          <ipxact:wire><ipxact:vector><ipxact:left>6</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>

    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>vga_red</ipxact:name>


      <ipxact:activeInterface componentRef="vga_red_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort>
          <ipxact:wire><ipxact:vector><ipxact:left>2</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>vga_green</ipxact:name>
      <ipxact:activeInterface componentRef="vga_green_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort>
          <ipxact:wire><ipxact:vector><ipxact:left>2</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>vga_blue</ipxact:name>
      <ipxact:activeInterface componentRef="vga_blue_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort>
          <ipxact:wire><ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>vga_hsync_n</ipxact:name>
      <ipxact:activeInterface componentRef="vga_hsync_n_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>vga_vsync_n</ipxact:name>
      <ipxact:activeInterface componentRef="vga_vsync_n_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>dp</ipxact:name>
      <ipxact:activeInterface componentRef="dp_pad" busRef="pad">
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>ps2_clk</ipxact:name>
      <ipxact:activeInterface componentRef="ps2_clk_pad" busRef="pad">
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>ps2_data</ipxact:name>
      <ipxact:activeInterface componentRef="ps2_data_pad" busRef="pad">
      </ipxact:activeInterface>
    </ipxact:interconnection>








    <ipxact:interconnection>
      <ipxact:name>an</ipxact:name>
      <ipxact:activeInterface componentRef="an_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort>
          <ipxact:wire><ipxact:vector><ipxact:left>3</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>btn</ipxact:name>
      <ipxact:activeInterface componentRef="btn_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort>
          <ipxact:wire><ipxact:vector><ipxact:left>3</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>

    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>sw</ipxact:name>

      <ipxact:activeInterface componentRef="sw_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort>
          <ipxact:wire><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>uart_txd</ipxact:name>
      <ipxact:activeInterface componentRef="txd_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>uart_rts</ipxact:name>
      <ipxact:activeInterface componentRef="rts_pad" busRef="pad"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>uart_rxd</ipxact:name>
      <ipxact:activeInterface componentRef="rxd_pad" busRef="pad"/>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>uart_cts</ipxact:name>
      <ipxact:activeInterface componentRef="cts_pad" busRef="pad"/>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>ja_1</ipxact:name>
      <ipxact:activeInterface componentRef="ja_1_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>ja_2</ipxact:name>
      <ipxact:activeInterface componentRef="ja_2_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>ja_3</ipxact:name>
      <ipxact:activeInterface componentRef="ja_3_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>ja_4</ipxact:name>
      <ipxact:activeInterface componentRef="ja_4_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>ja_7</ipxact:name>
      <ipxact:activeInterface componentRef="ja_7_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>ja_8</ipxact:name>
      <ipxact:activeInterface componentRef="ja_8_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>ja_9</ipxact:name>
      <ipxact:activeInterface componentRef="ja_9_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>ja_10</ipxact:name>
      <ipxact:activeInterface componentRef="ja_10_pad" busRef="pad"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>jb_1</ipxact:name>
      <ipxact:activeInterface componentRef="jb_1_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jb_2</ipxact:name>
      <ipxact:activeInterface componentRef="jb_2_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jb_3</ipxact:name>
      <ipxact:activeInterface componentRef="jb_3_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jb_4</ipxact:name>
      <ipxact:activeInterface componentRef="jb_4_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jb_7</ipxact:name>
      <ipxact:activeInterface componentRef="jb_7_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jb_8</ipxact:name>
      <ipxact:activeInterface componentRef="jb_8_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jb_9</ipxact:name>
      <ipxact:activeInterface componentRef="jb_9_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jb_10</ipxact:name>
      <ipxact:activeInterface componentRef="jb_10_pad" busRef="pad"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>jc_1</ipxact:name>
      <ipxact:activeInterface componentRef="jc_1_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jc_2</ipxact:name>
      <ipxact:activeInterface componentRef="jc_2_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jc_3</ipxact:name>
      <ipxact:activeInterface componentRef="jc_3_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jc_4</ipxact:name>
      <ipxact:activeInterface componentRef="jc_4_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jc_7</ipxact:name>
      <ipxact:activeInterface componentRef="jc_7_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jc_8</ipxact:name>
      <ipxact:activeInterface componentRef="jc_8_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jc_9</ipxact:name>
      <ipxact:activeInterface componentRef="jc_9_pad" busRef="pad"/>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>jc_10</ipxact:name>
      <ipxact:activeInterface componentRef="jc_10_pad" busRef="pad"/>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>memadr</ipxact:name>
      <ipxact:activeInterface componentRef="memadr_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>memadr_out</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>23</ipxact:left><ipxact:right>1</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>memdb</ipxact:name>
      <ipxact:activeInterface componentRef="memdb_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>memdb_out</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>15</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>memdb_in</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>15</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>memdb_oe</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>memoe_n</ipxact:name>

      <ipxact:activeInterface componentRef="memoe_n_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>memoe_n_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>memwr_n</ipxact:name>


      <ipxact:activeInterface componentRef="memwr_n_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>memwr_n_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>ramclk</ipxact:name>

      <ipxact:activeInterface componentRef="ramclk_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>ramclk_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>ramcre</ipxact:name>

      <ipxact:activeInterface componentRef="ramcre_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>ramcre_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>ramcs_n</ipxact:name>

      <ipxact:activeInterface componentRef="ramcs_n_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>ramcs_n_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>ramub_n</ipxact:name>

      <ipxact:activeInterface componentRef="ramub_n_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>ramub_n_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>ramlb_n</ipxact:name>

      <ipxact:activeInterface componentRef="ramlb_n_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>ramlb_n_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>flashcs_n</ipxact:name>

      <ipxact:activeInterface componentRef="flashcs_n_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>flashcs_n_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>flashrp_n</ipxact:name>

      <ipxact:activeInterface componentRef="flashrp_n_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>flashrp_n_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>flashststs</ipxact:name>

      <ipxact:activeInterface componentRef="flashststs_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>flashststs_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>ramwait</ipxact:name>

      <ipxact:activeInterface componentRef="ramwait_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>ramwait_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>ramadv_n</ipxact:name>

      <ipxact:activeInterface componentRef="ramadv_n_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>ramadv_n_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>rs_tx</ipxact:name>

      <ipxact:activeInterface componentRef="rs_tx_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>rs_tx_pad_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>rs_rx</ipxact:name>

      <ipxact:activeInterface componentRef="rs_rx_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>rs_rx_pad_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>eppastb</ipxact:name>
      <ipxact:activeInterface componentRef="eppastb_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>eppastb_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>eppdstb</ipxact:name>
      <ipxact:activeInterface componentRef="eppdstb_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>eppdstb_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>

    <ipxact:interconnection>
      <ipxact:name>eppwr</ipxact:name>
      <ipxact:activeInterface componentRef="eppwr_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>eppwr_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>














    <ipxact:interconnection>
      <ipxact:name>eppwait</ipxact:name>

      <ipxact:activeInterface componentRef="eppwait_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>eppwait_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>eppwait_oe</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>eppwait_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>

        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>





    <ipxact:interconnection>
      <ipxact:name>eppdb</ipxact:name>
      <ipxact:activeInterface componentRef="eppdb_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>eppdb_in</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>eppdb_oe</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>eppdb_out</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>usbrdy</ipxact:name>
      <ipxact:activeInterface componentRef="usbrdy_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbrdy_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>usbflag</ipxact:name>
      <ipxact:activeInterface componentRef="usbflag_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbflag_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>usbwr</ipxact:name>
      <ipxact:activeInterface componentRef="usbwr_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbwr_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbwr_oe</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbwr_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>usbmode</ipxact:name>
      <ipxact:activeInterface componentRef="usbmode_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbmode_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbmode_oe</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbmode_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>


    <ipxact:interconnection>
      <ipxact:name>usboe</ipxact:name>
      <ipxact:activeInterface componentRef="usboe_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usboe_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usboe_oe</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usboe_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>





    <ipxact:interconnection>
      <ipxact:name>usbpktend</ipxact:name>
      <ipxact:activeInterface componentRef="usbpktend_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbpktend_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbpktend_oe</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbpktend_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>usbdir</ipxact:name>
      <ipxact:activeInterface componentRef="usbdir_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbdir_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbdir_oe</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbdir_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>usbclk</ipxact:name>

      <ipxact:activeInterface componentRef="usbclk_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbclk_in</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbclk_oe</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbclk_out</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>
    </ipxact:interconnection>



    <ipxact:interconnection>
      <ipxact:name>usbadr</ipxact:name>
      <ipxact:activeInterface componentRef="usbadr_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbadr_in</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbadr_oe</ipxact:name>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>usbadr_out</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>


    </ipxact:interconnection>




    <ipxact:interconnection>
      <ipxact:name>pio</ipxact:name>

      <ipxact:activeInterface componentRef="pio_pad" busRef="pad">
        <ipxact:portMaps>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pio_in</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>39</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pio_oe</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>39</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
          <ipxact:portMap>
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name>
          </ipxact:logicalPort>
          <ipxact:physicalPort><ipxact:name>pio_out</ipxact:name>
          <ipxact:wire><ipxact:vector><ipxact:left>39</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
          </ipxact:physicalPort>
          </ipxact:portMap>
        </ipxact:portMaps>
      </ipxact:activeInterface>


    </ipxact:interconnection>



  
     <ipxact:interconnection>
      <ipxact:name>jtag</ipxact:name>
      <ipxact:activeInterface componentRef="jtag_tap" busRef="jtag"></ipxact:activeInterface>
      </ipxact:interconnection>


     <ipxact:interconnection>
      <ipxact:name>aux_jtag</ipxact:name>
      <ipxact:activeInterface componentRef="jtag_tap" busRef="aux_jtag"></ipxact:activeInterface>
      </ipxact:interconnection>








    </ipxact:interconnections>









<ipxact:componentInstances>



<ipxact:componentInstance>
<ipxact:instanceName>clock_sys</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="clock" version="sys" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="FREQ">CLOCK_FREQ</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="PLL_MULT">CLOCK_PLL_MULT</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="PLL_DIV">CLOCK_PLL_DIV</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="PLL_SIZE">CLOCK_PLL_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="CLOCK_SRC">CLOCK_SRC</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="RESET_SENSE">RESET_SENSE</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>






<ipxact:componentInstance>
<ipxact:instanceName>jtag_tap</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="jtag" version="tap" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="CHIP_ID_VAL">CHIP_ID</ipxact:configurableElementValue>
</ipxact:configurableElementValues>

</ipxact:componentInstance>


<ipxact:componentInstance>
<ipxact:instanceName>a_clk_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>b_clk_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>seg_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">7</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>


<ipxact:componentInstance>
<ipxact:instanceName>dp_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>


<ipxact:componentInstance>
<ipxact:instanceName>an_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">4</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>


<ipxact:componentInstance>
<ipxact:instanceName>sw_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">8</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>btn_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">4</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>


<ipxact:componentInstance>
<ipxact:instanceName>led_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">8</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>


<ipxact:componentInstance>
<ipxact:instanceName>ps2_data_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="od_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ps2_clk_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="od_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>rs_rx_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>rs_tx_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ja_1_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ja_2_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ja_3_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ja_4_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ja_7_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ja_8_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ja_9_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ja_10_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jb_1_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jb_2_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jb_3_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jb_4_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jb_7_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jb_8_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jb_9_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jb_10_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jc_1_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jc_2_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jc_3_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jc_4_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jc_7_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jc_8_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jc_9_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>jc_10_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>rts_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>cts_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />
</ipxact:componentInstance>


<ipxact:componentInstance>
<ipxact:instanceName>rxd_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />
</ipxact:componentInstance>


<ipxact:componentInstance>
<ipxact:instanceName>txd_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>


<ipxact:componentInstance>
<ipxact:instanceName>vga_red_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">3</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>


<ipxact:componentInstance>
<ipxact:instanceName>vga_green_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">3</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>


<ipxact:componentInstance>
<ipxact:instanceName>vga_blue_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">2</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>


<ipxact:componentInstance>
<ipxact:instanceName>vga_hsync_n_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>vga_vsync_n_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ramadv_n_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ramclk_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ramcre_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>memoe_n_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ramcs_n_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ramlb_n_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ramub_n_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>memwr_n_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>flashcs_n_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>flashrp_n_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>flashststs_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>ramwait_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>memadr_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">23</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>memdb_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">16</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>



<ipxact:componentInstance>
<ipxact:instanceName>eppastb_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>eppdstb_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>usbflag_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>eppwait_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>usbwr_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>usbmode_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>usboe_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>usbadr_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">2</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>



<ipxact:componentInstance>
<ipxact:instanceName>usbpktend_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>usbdir_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>eppdb_pad</ipxact:instanceName> 
<ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">8</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>



<ipxact:componentInstance>
<ipxact:instanceName>usbclk_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>usbrdy_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />
</ipxact:componentInstance>

<ipxact:componentInstance>
<ipxact:instanceName>pio_pad</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">40</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="OE_WIDTH">40</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="SCMD">0</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>




</ipxact:componentInstances>





</ipxact:design>



   










Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.