OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [digilentinc.com/] [nexys2/] [ip/] [iceskate/] [rtl/] [xml/] [iceskate_padring.design.xml] - Rev 135

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:kactus2="http://kactus2.cs.tut.fi"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
                    http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">


  
<ipxact:vendor>digilentinc.com</ipxact:vendor> 
<ipxact:library>nexys2</ipxact:library> 
<ipxact:name>iceskate</ipxact:name> 
<ipxact:version>padring.design</ipxact:version> 

 <ipxact:interconnections> 
 <ipxact:interconnection>                                                              
 <ipxact:name>A_CLK</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="a_clk_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>A_CLK</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="A_CLK"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>a_clk</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="a_clk_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>a_clk_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="a_clk_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>a_clk_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>a_clk_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>AN</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="an_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>AN</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>3</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="AN"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>an</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="an_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>an_pad_out</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>3</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="an_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>an_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>an_pad_out</ipxact:name>              
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>3</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>B_CLK</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="b_clk_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>B_CLK</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="B_CLK"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>b_clk</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="b_clk_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>b_clk_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="b_clk_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>b_clk_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>b_clk_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>BTN</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="btn_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>BTN</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>3</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="BTN"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>btn</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="btn_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>btn_pad_in</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>3</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector> 
   </ipxact:wire>         
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="btn_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>btn_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>btn_pad_in</ipxact:name>             
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>3</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector> 
   </ipxact:wire>         
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>CTS</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="cts_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>CTS</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="CTS"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>cts</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="cts_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>cts_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="cts_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>cts_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>cts_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>DP</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="dp_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>DP</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="DP"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>dp</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="dp_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>dp_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="dp_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>dp_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>dp_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>EPPASTB</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="eppastb_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>EPPASTB</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="EPPASTB"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>eppastb</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="eppastb_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>eppastb_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="eppastb_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>eppastb_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>eppastb_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>EPPDB</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="eppdb_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>EPPDB</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="EPPDB"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>eppdb</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="eppdb_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>eppdb_pad_in</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector> 
   </ipxact:wire>         
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>eppdb_pad_out</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>eppdb_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="eppdb_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>eppdb_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>eppdb_pad_in</ipxact:name>             
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector> 
   </ipxact:wire>         
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>eppdb_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>eppdb_pad_out</ipxact:name>              
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>eppdb_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>eppdb_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>EPPDSTB</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="eppdstb_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>EPPDSTB</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="EPPDSTB"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>eppdstb</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="eppdstb_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>eppdstb_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="eppdstb_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>eppdstb_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>eppdstb_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>EPPWAIT</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="eppwait_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>EPPWAIT</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="EPPWAIT"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>eppwait</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="eppwait_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>eppwait_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="eppwait_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>eppwait_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>eppwait_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>FLASHCS</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="flashcs_n_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>FLASHCS</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="FLASHCS"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>flashcs_n</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="flashcs_n_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>flashcs_n_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="flashcs_n_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>flashcs_n_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>flashcs_n_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>FLASHRP</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="flashrp_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>FLASHRP</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="FLASHRP"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>flashrp</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="flashrp_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>flashrp_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="flashrp_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>flashrp_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>flashrp_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>FLASHSTSTS</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="flashststs_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>FLASHSTSTS</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="FLASHSTSTS"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>flashststs</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="flashststs_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>flashststs_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="flashststs_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>flashststs_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>flashststs_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JA_1</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ja_1_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JA_1</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JA_1"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ja_1</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ja_1_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>ja_1_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ja_1_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_1_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ja_1_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>ja_1_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_1_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ja_1_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ja_1_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>ja_1_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>ja_1_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JA_10</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ja_10_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JA_10</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JA_10"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ja_10</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ja_10_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>ja_10_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ja_10_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_10_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ja_10_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>ja_10_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_10_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ja_10_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ja_10_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>ja_10_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>ja_10_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JA_2</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ja_2_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JA_2</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JA_2"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ja_2</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ja_2_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>ja_2_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ja_2_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_2_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ja_2_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>ja_2_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_2_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ja_2_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ja_2_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>ja_2_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>ja_2_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JA_3</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ja_3_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JA_3</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JA_3"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ja_3</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ja_3_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>ja_3_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ja_3_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_3_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ja_3_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>ja_3_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_3_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ja_3_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ja_3_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>ja_3_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>ja_3_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JA_4</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ja_4_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JA_4</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JA_4"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ja_4</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ja_4_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>ja_4_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ja_4_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_4_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ja_4_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>ja_4_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_4_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ja_4_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ja_4_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>ja_4_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>ja_4_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JA_7</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ja_7_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JA_7</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JA_7"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ja_7</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ja_7_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>ja_7_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ja_7_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_7_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ja_7_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>ja_7_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_7_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ja_7_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ja_7_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>ja_7_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>ja_7_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JA_8</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ja_8_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JA_8</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JA_8"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ja_8</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ja_8_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>ja_8_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ja_8_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_8_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ja_8_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>ja_8_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_8_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ja_8_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ja_8_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>ja_8_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>ja_8_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JA_9</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ja_9_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JA_9</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JA_9"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ja_9</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ja_9_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>ja_9_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ja_9_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_9_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ja_9_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>ja_9_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ja_9_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ja_9_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ja_9_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>ja_9_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>ja_9_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JB_1</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jb_1_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JB_1</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JB_1"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jb_1</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jb_1_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jb_1_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jb_1_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_1_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jb_1_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jb_1_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_1_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jb_1_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jb_1_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jb_1_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jb_1_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JB_10</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jb_10_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JB_10</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JB_10"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jb_10</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jb_10_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jb_10_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jb_10_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_10_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jb_10_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jb_10_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_10_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jb_10_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jb_10_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jb_10_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jb_10_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JB_2</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jb_2_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JB_2</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JB_2"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jb_2</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jb_2_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jb_2_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jb_2_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_2_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jb_2_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jb_2_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_2_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jb_2_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jb_2_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jb_2_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jb_2_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JB_3</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jb_3_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JB_3</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JB_3"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jb_3</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jb_3_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jb_3_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jb_3_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_3_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jb_3_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jb_3_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_3_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jb_3_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jb_3_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jb_3_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jb_3_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JB_4</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jb_4_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JB_4</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JB_4"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jb_4</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jb_4_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jb_4_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jb_4_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_4_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jb_4_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jb_4_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_4_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jb_4_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jb_4_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jb_4_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jb_4_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JB_7</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jb_7_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JB_7</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JB_7"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jb_7</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jb_7_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jb_7_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jb_7_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_7_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jb_7_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jb_7_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_7_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jb_7_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jb_7_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jb_7_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jb_7_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JB_8</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jb_8_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JB_8</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JB_8"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jb_8</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jb_8_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jb_8_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jb_8_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_8_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jb_8_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jb_8_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_8_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jb_8_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jb_8_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jb_8_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jb_8_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JB_9</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jb_9_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JB_9</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JB_9"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jb_9</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jb_9_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jb_9_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jb_9_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_9_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jb_9_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jb_9_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jb_9_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jb_9_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jb_9_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jb_9_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jb_9_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JC_1</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jc_1_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JC_1</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JC_1"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jc_1</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jc_1_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jc_1_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jc_1_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_1_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jc_1_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jc_1_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_1_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jc_1_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jc_1_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jc_1_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jc_1_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JC_10</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jc_10_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JC_10</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JC_10"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jc_10</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jc_10_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jc_10_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jc_10_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_10_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jc_10_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jc_10_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_10_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jc_10_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jc_10_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jc_10_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jc_10_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JC_2</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jc_2_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JC_2</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JC_2"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jc_2</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jc_2_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jc_2_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jc_2_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_2_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jc_2_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jc_2_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_2_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jc_2_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jc_2_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jc_2_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jc_2_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JC_3</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jc_3_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JC_3</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JC_3"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jc_3</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jc_3_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jc_3_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jc_3_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_3_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jc_3_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jc_3_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_3_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jc_3_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jc_3_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jc_3_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jc_3_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JC_4</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jc_4_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JC_4</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JC_4"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jc_4</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jc_4_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jc_4_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jc_4_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_4_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jc_4_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jc_4_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_4_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jc_4_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jc_4_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jc_4_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jc_4_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JC_7</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jc_7_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JC_7</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JC_7"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jc_7</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jc_7_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jc_7_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jc_7_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_7_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jc_7_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jc_7_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_7_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jc_7_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jc_7_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jc_7_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jc_7_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JC_8</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jc_8_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JC_8</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JC_8"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jc_8</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jc_8_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jc_8_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jc_8_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_8_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jc_8_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jc_8_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_8_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jc_8_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jc_8_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jc_8_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jc_8_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>JC_9</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="jc_9_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>JC_9</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="JC_9"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>jc_9</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="jc_9_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>jc_9_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>jc_9_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_9_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="jc_9_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>jc_9_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>jc_9_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>jc_9_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>jc_9_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>jc_9_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>jc_9_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>LED</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="led_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>LED</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="LED"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>led</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="led_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>led_pad_out</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="led_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>led_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>led_pad_out</ipxact:name>              
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>MEMADR</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="memadr_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>MEMADR</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>23</ipxact:left><ipxact:right>1</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="MEMADR"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>memadr</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="memadr_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>memadr_pad_out</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>23</ipxact:left><ipxact:right>1</ipxact:right></ipxact:vector>
   </ipxact:wire>         
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="memadr_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>memadr_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>memadr_pad_out</ipxact:name>              
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>23</ipxact:left><ipxact:right>1</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>MEMDB</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="memdb_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>MEMDB</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>15</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="MEMDB"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>memdb</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="memdb_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>memdb_pad_in</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>15</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector> 
   </ipxact:wire>         
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>memdb_pad_out</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>15</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>memdb_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="memdb_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>memdb_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>memdb_pad_in</ipxact:name>             
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>15</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector> 
   </ipxact:wire>         
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>memdb_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>memdb_pad_out</ipxact:name>              
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>15</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>memdb_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>memdb_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>MEMOE</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="memoe_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>MEMOE</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="MEMOE"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>memoe</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="memoe_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>memoe_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="memoe_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>memoe_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>memoe_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>MEMWR</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="memwr_n_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>MEMWR</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="MEMWR"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>memwr_n</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="memwr_n_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>memwr_n_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="memwr_n_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>memwr_n_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>memwr_n_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>PIO</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="pio_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>PIO</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>39</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="PIO"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>pio</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="pio_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>pio_pad_in</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>39</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector> 
   </ipxact:wire>         
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>pio_pad_out</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>39</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>pio_pad_oe</ipxact:name>  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>39</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="pio_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pio_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>pio_pad_in</ipxact:name>             
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>39</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector> 
   </ipxact:wire>         
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>pio_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>pio_pad_out</ipxact:name>              
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>39</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>pio_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>pio_pad_oe</ipxact:name>  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>39</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>PS2C</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ps2_clk_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>PS2C</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="PS2C"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ps2_clk</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ps2_clk_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>ps2_clk_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ps2_clk_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ps2_clk_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ps2_clk_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>ps2_clk_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ps2_clk_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ps2_clk_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ps2_clk_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>ps2_clk_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>ps2_clk_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>PS2D</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ps2_data_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_io</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>PS2D</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="PS2D"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ps2_data</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ps2_data_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>ps2_data_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ps2_data_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>pad_oe</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ps2_data_pad_oe</ipxact:name>  
         </ipxact:physicalPort> </ipxact:portMap>                                                              
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ps2_data_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>ps2_data_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ps2_data_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ps2_data_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ps2_data_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
          <ipxact:portMap>                                                                     
          <ipxact:logicalPort><ipxact:name>ps2_data_pad_oe</ipxact:name></ipxact:logicalPort>                  
          <ipxact:physicalPort><ipxact:name>ps2_data_pad_oe</ipxact:name>  
         </ipxact:physicalPort>  </ipxact:portMap>                                                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>RAMADV</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ramadv_n_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>RAMADV</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="RAMADV"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ramadv_n</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ramadv_n_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ramadv_n_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ramadv_n_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ramadv_n_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ramadv_n_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>RAMCLK</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ramclk_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>RAMCLK</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="RAMCLK"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ramclk</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ramclk_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ramclk_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ramclk_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ramclk_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ramclk_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>RAMCRE</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ramcre_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>RAMCRE</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="RAMCRE"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ramcre</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ramcre_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ramcre_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ramcre_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ramcre_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ramcre_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>RAMCS</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ramcs_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>RAMCS</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="RAMCS"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ramcs</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ramcs_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ramcs_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ramcs_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ramcs_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ramcs_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>RAMLB</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ramlb_n_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>RAMLB</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="RAMLB"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ramlb_n</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ramlb_n_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ramlb_n_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ramlb_n_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ramlb_n_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ramlb_n_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>RAMUB</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ramub_n_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>RAMUB</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="RAMUB"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ramub_n</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ramub_n_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>ramub_n_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ramub_n_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>ramub_n_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>ramub_n_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>RAMWAIT</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="ramwait_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>RAMWAIT</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="RAMWAIT"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>ramwait</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="ramwait_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>ramwait_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="ramwait_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>ramwait_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>ramwait_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>RS_RX</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="rs_rx_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>RS_RX</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="RS_RX"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>rs_rx</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="rs_rx_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>rs_rx_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="rs_rx_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>rs_rx_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>rs_rx_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>RS_TX</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="rs_tx_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>RS_TX</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="RS_TX"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>rs_tx</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="rs_tx_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>rs_tx_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="rs_tx_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>rs_tx_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>rs_tx_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>RTS</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="rts_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>RTS</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="RTS"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>rts</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="rts_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>rts_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="rts_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>rts_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>rts_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>RXD</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="rxd_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>RXD</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="RXD"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>rxd</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="rxd_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>rxd_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="rxd_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>rxd_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>rxd_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>SEG</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="seg_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>SEG</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>6</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="SEG"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>seg</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="seg_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>seg_pad_out</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>6</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="seg_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>seg_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>seg_pad_out</ipxact:name>              
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>6</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>SW</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="sw_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>SW</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="SW"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>sw</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="sw_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>sw_pad_in</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector> 
   </ipxact:wire>         
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="sw_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>sw_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>sw_pad_in</ipxact:name>             
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector> 
   </ipxact:wire>         
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>TXD</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="txd_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>TXD</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="TXD"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>txd</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="txd_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>txd_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="txd_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>txd_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>txd_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>USBADR</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="usbadr_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>USBADR</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="USBADR"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>usbadr</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="usbadr_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>usbadr_pad_in</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector> 
   </ipxact:wire>         
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="usbadr_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>usbadr_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>usbadr_pad_in</ipxact:name>             
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector> 
   </ipxact:wire>         
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>USBCLK</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="usbclk_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>USBCLK</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="USBCLK"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>usbclk</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="usbclk_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>usbclk_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="usbclk_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>usbclk_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>usbclk_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>USBDIR</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="usbdir_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>USBDIR</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="USBDIR"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>usbdir</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="usbdir_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>usbdir_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="usbdir_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>usbdir_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>usbdir_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>USBFLAG</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="usbflag_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>USBFLAG</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="USBFLAG"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>usbflag</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="usbflag_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>usbflag_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="usbflag_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>usbflag_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>usbflag_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>USBMODE</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="usbmode_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>USBMODE</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="USBMODE"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>usbmode</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="usbmode_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>usbmode_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="usbmode_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>usbmode_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>usbmode_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>USBOE</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="usboe_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>USBOE</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="USBOE"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>usboe</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="usboe_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>usboe_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="usboe_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>usboe_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>usboe_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>USBPKTEND</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="usbpktend_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>USBPKTEND</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="USBPKTEND"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>usbpktend</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="usbpktend_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>usbpktend_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="usbpktend_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>usbpktend_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>usbpktend_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>USBRDY</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="usbrdy_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>USBRDY</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="USBRDY"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>usbrdy</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="usbrdy_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>usbrdy_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="usbrdy_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>usbrdy_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>usbrdy_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>USBWR</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="usbwr_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_in</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>USBWR</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="USBWR"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>usbwr</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="usbwr_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>pad_in</ipxact:name></ipxact:logicalPort>         
          <ipxact:physicalPort><ipxact:name>usbwr_pad_in</ipxact:name>                  
     </ipxact:physicalPort>     </ipxact:portMap>                      
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="usbwr_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                               
          <ipxact:logicalPort><ipxact:name>usbwr_pad_in</ipxact:name></ipxact:logicalPort>           
          <ipxact:physicalPort><ipxact:name>usbwr_pad_in</ipxact:name>             
          </ipxact:physicalPort></ipxact:portMap>                                         
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>VGABLUE</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="vga_blue_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>VGABLUE</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="VGABLUE"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>vga_blue</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="vga_blue_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>vga_blue_pad_out</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="vga_blue_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>vga_blue_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>vga_blue_pad_out</ipxact:name>              
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>VGAGREEN</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="vga_green_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>VGAGREEN</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>2</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="VGAGREEN"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>vga_green</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="vga_green_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>vga_green_pad_out</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>2</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="vga_green_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>vga_green_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>vga_green_pad_out</ipxact:name>              
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>2</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>HSYNC_N</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="vga_hsync_n_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>HSYNC_N</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="HSYNC_N"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>vga_hsync_n</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="vga_hsync_n_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>vga_hsync_n_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="vga_hsync_n_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>vga_hsync_n_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>vga_hsync_n_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>VGARED</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="vga_red_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>VGARED</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>2</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="VGARED"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>vga_red</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="vga_red_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>vga_red_pad_out</ipxact:name>                  
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>2</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="vga_red_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>vga_red_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>vga_red_pad_out</ipxact:name>              
   <ipxact:wire>         
   <ipxact:vector><ipxact:left>2</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector>
   </ipxact:wire>         
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         

 <ipxact:interconnection>                                                              
 <ipxact:name>VSYNC_N</ipxact:name>                                                
 <ipxact:activeInterface  componentRef="vga_vsync_n_pad" busRef="pad_ring">        
    <ipxact:portMaps>                                                                  
          <ipxact:portMap>                                                             
          <ipxact:logicalPort><ipxact:name>PAD_out</ipxact:name></ipxact:logicalPort> 
          <ipxact:physicalPort><ipxact:name>VSYNC_N</ipxact:name>                  
       </ipxact:physicalPort>   </ipxact:portMap>                     
    </ipxact:portMaps>                                                
  </ipxact:activeInterface>                                           
  <ipxact:hierInterface busRef="VSYNC_N"/>                      
  </ipxact:interconnection>                                           

 <ipxact:interconnection>                                             
 <ipxact:name>vga_vsync_n</ipxact:name>                                               
 <ipxact:activeInterface  componentRef="vga_vsync_n_pad" busRef="pad">            
    <ipxact:portMaps>                                                                 
          <ipxact:portMap>                                                         
          <ipxact:logicalPort><ipxact:name>pad_out</ipxact:name></ipxact:logicalPort>    
          <ipxact:physicalPort><ipxact:name>vga_vsync_n_pad_out</ipxact:name>                  
         </ipxact:physicalPort> </ipxact:portMap>                                          
    </ipxact:portMaps>                                                                   
  </ipxact:activeInterface>                                                 
 <ipxact:activeInterface  componentRef="core" busRef="vga_vsync_n_pad">           
    <ipxact:portMaps>                                                                    
          <ipxact:portMap>                                                                 
          <ipxact:logicalPort><ipxact:name>vga_vsync_n_pad_out</ipxact:name></ipxact:logicalPort>            
          <ipxact:physicalPort><ipxact:name>vga_vsync_n_pad_out</ipxact:name>              
       </ipxact:physicalPort>   </ipxact:portMap>                                           
    </ipxact:portMaps>                                                                               
  </ipxact:activeInterface>                                                 
  </ipxact:interconnection>                                                                         


 </ipxact:interconnections> 
 
 <ipxact:componentInstances> 

 <ipxact:componentInstance>  <ipxact:instanceName>core</ipxact:instanceName>      
 <ipxact:componentRef vendor="digilentinc.com" library="nexys2" name="iceskate" version="core" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>a_clk_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>an_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
 <ipxact:configurableElementValues>      
 <ipxact:configurableElementValue referenceId="WIDTH">4</ipxact:configurableElementValue>      
 </ipxact:configurableElementValues>      
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>b_clk_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>btn_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
 <ipxact:configurableElementValues>      
 <ipxact:configurableElementValue referenceId="WIDTH">4</ipxact:configurableElementValue>      
 </ipxact:configurableElementValues>      
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>cts_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>dp_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>eppastb_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>eppdb_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
 <ipxact:configurableElementValues>      
 <ipxact:configurableElementValue referenceId="WIDTH">8</ipxact:configurableElementValue>      
 </ipxact:configurableElementValues>      
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>eppdstb_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>eppwait_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>flashcs_n_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>flashrp_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>flashststs_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ja_1_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ja_10_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ja_2_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ja_3_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ja_4_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ja_7_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ja_8_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ja_9_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jb_1_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jb_10_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jb_2_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jb_3_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jb_4_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jb_7_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jb_8_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jb_9_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jc_1_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jc_10_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jc_2_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jc_3_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jc_4_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jc_7_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jc_8_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>jc_9_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>led_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
 <ipxact:configurableElementValues>      
 <ipxact:configurableElementValue referenceId="WIDTH">8</ipxact:configurableElementValue>      
 </ipxact:configurableElementValues>      
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>memadr_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
 <ipxact:configurableElementValues>      
 <ipxact:configurableElementValue referenceId="WIDTH">23</ipxact:configurableElementValue>      
 </ipxact:configurableElementValues>      
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>memdb_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
 <ipxact:configurableElementValues>      
 <ipxact:configurableElementValue referenceId="WIDTH">16</ipxact:configurableElementValue>      
 </ipxact:configurableElementValues>      
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>memoe_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>memwr_n_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>pio_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
 <ipxact:configurableElementValues>      
 <ipxact:configurableElementValue referenceId="WIDTH">40</ipxact:configurableElementValue>      
 <ipxact:configurableElementValue referenceId="OE_WIDTH">40</ipxact:configurableElementValue>      
 </ipxact:configurableElementValues>      
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ps2_clk_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ps2_data_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="se_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ramadv_n_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ramclk_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ramcre_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ramcs_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ramlb_n_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ramub_n_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>ramwait_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>rs_rx_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>rs_tx_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>rts_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>rxd_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>seg_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
 <ipxact:configurableElementValues>      
 <ipxact:configurableElementValue referenceId="WIDTH">7</ipxact:configurableElementValue>      
 </ipxact:configurableElementValues>      
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>sw_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
 <ipxact:configurableElementValues>      
 <ipxact:configurableElementValue referenceId="WIDTH">8</ipxact:configurableElementValue>      
 </ipxact:configurableElementValues>      
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>txd_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>usbadr_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
 <ipxact:configurableElementValues>      
 <ipxact:configurableElementValue referenceId="WIDTH">2</ipxact:configurableElementValue>      
 </ipxact:configurableElementValues>      
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>usbclk_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>usbdir_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>usbflag_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>usbmode_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>usboe_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>usbpktend_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>usbrdy_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>usbwr_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="in_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>vga_blue_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
 <ipxact:configurableElementValues>      
 <ipxact:configurableElementValue referenceId="WIDTH">2</ipxact:configurableElementValue>      
 </ipxact:configurableElementValues>      
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>vga_green_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
 <ipxact:configurableElementValues>      
 <ipxact:configurableElementValue referenceId="WIDTH">3</ipxact:configurableElementValue>      
 </ipxact:configurableElementValues>      
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>vga_hsync_n_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>vga_red_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
 <ipxact:configurableElementValues>      
 <ipxact:configurableElementValue referenceId="WIDTH">3</ipxact:configurableElementValue>      
 </ipxact:configurableElementValues>      
  </ipxact:componentInstance>                                                                                

 <ipxact:componentInstance>  <ipxact:instanceName>vga_vsync_n_pad</ipxact:instanceName>      
 <ipxact:componentRef vendor="opencores.org" library="cde" name="pad" version="out_dig" />         
  </ipxact:componentInstance>                                                                                


 </ipxact:componentInstances> 
 
 </ipxact:design> 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.