OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [io/] [ip/] [io_module/] [rtl/] [xml/] [io_module_def.xml] - Rev 135

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="utf-8"?>
<!--
//                                                                        //
// Author : John Eaton  Ouabache Designworks                              //
//                                                                        //
//   Copyright (C) 2010 Authors and OPENCORES.ORG                         //
//                                                                        //
//   This source file may be used and distributed without                 //
//   restriction provided that this copyright statement is not            //
//   removed from the file and that any derivative work contains          //
//   the original copyright notice and the associated disclaimer.         //
//                                                                        //
//   This source file is free software; you can redistribute it           //
//   and/or modify it under the terms of the GNU Lesser General           //
//   Public License as published by the Free Software Foundation;         //
//   either version 2.1 of the License, or (at your option) any           //
//   later version.                                                       //
//                                                                        //
//   This source is distributed in the hope that it will be               //
//   useful, but WITHOUT ANY WARRANTY; without even the implied           //
//   warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR              //
//   PURPOSE. See the GNU Lesser General Public License for more          //
//   details.                                                             //
//                                                                        //
//   You should have received a copy of the GNU Lesser General            //
//   Public License along with this source; if not, download it           //
//   from http://www.opencores.org/lgpl.shtml                             //
//                                                                        //
-->
<ipxact:component 
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">

<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>io</ipxact:library>
<ipxact:name>io_module</ipxact:name>
<ipxact:version>def</ipxact:version>    

<ipxact:busInterfaces>

 <ipxact:busInterface><ipxact:name>slave_clk</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="clock" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="clock" version="rtl"/>
    <ipxact:portMaps>
      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>clk</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>clk</ipxact:name></ipxact:physicalPort>
      </ipxact:portMap>
    </ipxact:portMaps>
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:slave/>
 </ipxact:busInterface>


 <ipxact:busInterface><ipxact:name>slave_reset</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
    <ipxact:portMaps>
      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>reset</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>reset</ipxact:name></ipxact:physicalPort>
      </ipxact:portMap>
    </ipxact:portMaps>
        </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:slave/>
 </ipxact:busInterface>


 <ipxact:busInterface><ipxact:name>slave_enable</ipxact:name>
  <ipxact:busType vendor="opencores.org" library="Busdefs" name="enable" version="def"/>
  <ipxact:abstractionTypes>
      <ipxact:abstractionType>
  <ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="enable" version="rtl"/>
    <ipxact:portMaps>
      <ipxact:portMap>
        <ipxact:logicalPort><ipxact:name>enable</ipxact:name></ipxact:logicalPort>
        <ipxact:physicalPort><ipxact:name>enable</ipxact:name></ipxact:physicalPort>
      </ipxact:portMap>
    </ipxact:portMaps>
      </ipxact:abstractionType>
      </ipxact:abstractionTypes>
  <ipxact:slave/>
 </ipxact:busInterface>

</ipxact:busInterfaces>

<ipxact:componentGenerators>





<ipxact:componentGenerator>
  <ipxact:name>gen_registers</ipxact:name>
  <ipxact:phase>102.1</ipxact:phase>
  <ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
  <ipxact:apiType>none</ipxact:apiType>
  <ipxact:generatorExe>tools/regtool/gen_registers</ipxact:generatorExe>
    <ipxact:parameters>
    <ipxact:parameter> 
      <ipxact:name>bus_intf</ipxact:name>
      <ipxact:value>mb</ipxact:value>
    </ipxact:parameter>
    <ipxact:parameter> 
      <ipxact:name>dest_dir</ipxact:name>
      <ipxact:value>../verilog</ipxact:value>
    </ipxact:parameter>
  </ipxact:parameters>    
</ipxact:componentGenerator>



<ipxact:componentGenerator>
  <ipxact:name>gen_verilog</ipxact:name>
  <ipxact:phase>104.0</ipxact:phase>
  <ipxact:apiType>none</ipxact:apiType>
  <ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
  <ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
  <ipxact:parameters>
    <ipxact:parameter> 
      <ipxact:name>destination</ipxact:name>
      <ipxact:value>io_module_def</ipxact:value>
    </ipxact:parameter>
  </ipxact:parameters>
</ipxact:componentGenerator>



</ipxact:componentGenerators>


<ipxact:fileSets>

   <ipxact:fileSet>
      <ipxact:name>fs-common</ipxact:name>

      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/top.rtl</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
      </ipxact:file>

   </ipxact:fileSet>


   <ipxact:fileSet>
      <ipxact:name>fs-sim</ipxact:name>

      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/copyright</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
      </ipxact:file>

      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/common/io_module_def</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
      </ipxact:file>



      <ipxact:file>
        <ipxact:logicalName>dest_dir</ipxact:logicalName>
        <ipxact:name>../views/sim/</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
      </ipxact:file>



   </ipxact:fileSet>

   <ipxact:fileSet>
      <ipxact:name>fs-syn</ipxact:name>


      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/copyright</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
      </ipxact:file>

      <ipxact:file>
        <ipxact:logicalName></ipxact:logicalName>
        <ipxact:name>../verilog/common/io_module_def</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
      </ipxact:file>


      <ipxact:file>
        <ipxact:logicalName>dest_dir</ipxact:logicalName>
        <ipxact:name>../views/syn/</ipxact:name>
        <ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
      </ipxact:file>



   </ipxact:fileSet>





</ipxact:fileSets>



 

<ipxact:model>
        <ipxact:instantiations>
                        <ipxact:designInstantiation>
                                <ipxact:name>Hierarchical</ipxact:name>
                                <ipxact:designRef vendor="opencores.org" library="io" name="io_module" version="def.design"/>
                        </ipxact:designInstantiation>
                </ipxact:instantiations>


                
  
       <ipxact:views>

              <ipxact:view>
              <ipxact:name>Hierarchical</ipxact:name>
                <ipxact:designInstantiationRef>Hierarchical</ipxact:designInstantiationRef>

              </ipxact:view>

              <ipxact:view>
              <ipxact:name>verilog</ipxact:name>              
              <ipxact:vendorExtensions>
              <ipxact:componentRef ipxact:vendor="opencores.org" 
                                   ipxact:library="Testbench" 
                                   ipxact:name="toolflow" 
                                   ipxact:version="verilog"/> 
              </ipxact:vendorExtensions>
              </ipxact:view>





              <ipxact:view>
              <ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
              <ipxact:language>Verilog</ipxact:language>
              <ipxact:modelName></ipxact:modelName>
                     <ipxact:fileSetRef>
                            <ipxact:localName>fs-common</ipxact:localName>
                     </ipxact:fileSetRef>
              </ipxact:view>

              <ipxact:view>
              <ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
              <ipxact:language>Verilog</ipxact:language>
              <ipxact:modelName></ipxact:modelName>
                     <ipxact:fileSetRef>
                            <ipxact:localName>fs-sim</ipxact:localName>
                     </ipxact:fileSetRef>
              </ipxact:view>


              <ipxact:view>
              <ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
              <ipxact:language>Verilog</ipxact:language>
              <ipxact:modelName></ipxact:modelName>
                     <ipxact:fileSetRef>
                            <ipxact:localName>fs-syn</ipxact:localName>
                     </ipxact:fileSetRef>
              </ipxact:view>




              <ipxact:view>
              <ipxact:name>doc</ipxact:name>
              <ipxact:vendorExtensions>
              <ipxact:componentRef ipxact:vendor="opencores.org" 
                                   ipxact:library="Testbench" 
                                   ipxact:name="toolflow" 
                                   ipxact:version="documentation"/> 
              </ipxact:vendorExtensions>
              <ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
              <ipxact:language>Verilog</ipxact:language>
              </ipxact:view>



      </ipxact:views>



<ipxact:ports>



<ipxact:port><ipxact:name>clk</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>


<ipxact:port><ipxact:name>reset</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
  

<ipxact:port><ipxact:name>enable</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>



<ipxact:port><ipxact:name>gpio_0_out</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>gpio_0_oe</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>



<ipxact:port><ipxact:name>gpio_0_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>gpio_1_out</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>gpio_1_oe</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>



<ipxact:port><ipxact:name>gpio_1_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>timer_irq</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>pic_irq</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>pic_nmi</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>pic_irq_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>vic_irq_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>


<ipxact:port><ipxact:name>cts_pad_in</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>rts_pad_out</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>rx_irq</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>tx_irq</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>ps2_data_avail</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>y_pos</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>9</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>x_pos</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>9</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>new_packet</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>ms_mid</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>ms_right</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>ms_left</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>int_out</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>vector</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>




<ipxact:port><ipxact:name>ext_ub</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>

<ipxact:port><ipxact:name>ext_stb</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>


<ipxact:port><ipxact:name>ext_lb</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>



</ipxact:ports>


</ipxact:model>    




<ipxact:memoryMaps>



   <ipxact:memoryMap>
   <ipxact:addressUnitBits>8</ipxact:addressUnitBits>
   <ipxact:name>mb</ipxact:name>

   <ipxact:bank>
     <ipxact:name>mb</ipxact:name>
     <ipxact:baseAddress>0x00</ipxact:baseAddress>
 
     <ipxact:addressBlock>
       <ipxact:name>gpio</ipxact:name>
       <ipxact:range>0x10</ipxact:range>
       <ipxact:width>8</ipxact:width>

  
<ipxact:register>
   <ipxact:name>0_out</ipxact:name>
   <ipxact:addressOffset>0x02</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>0_oe</ipxact:name>
   <ipxact:addressOffset>0x01</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>0_in</ipxact:name>
   <ipxact:addressOffset>0x00</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>


 <ipxact:register>
   <ipxact:name>1_out</ipxact:name>
   <ipxact:addressOffset>0x06</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>1_oe</ipxact:name>
   <ipxact:addressOffset>0x05</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>1_in</ipxact:name>
   <ipxact:addressOffset>0x04</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>


      <ipxact:vendorExtensions>
          <socgen:componentInstance>gpio</socgen:componentInstance>
          <socgen:memoryMap>mb</socgen:memoryMap>
      </ipxact:vendorExtensions>

     </ipxact:addressBlock>



     <ipxact:addressBlock>
       <ipxact:name>timer</ipxact:name>
       <ipxact:range>0x10</ipxact:range>
       <ipxact:width>8</ipxact:width>

  <ipxact:register>
   <ipxact:name>0_start</ipxact:name>
   <ipxact:addressOffset>0x00</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>0_count</ipxact:name>
   <ipxact:addressOffset>0x02</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>0_end</ipxact:name>
   <ipxact:addressOffset>0x04</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>write-only</ipxact:access>
  </ipxact:register>


 <ipxact:register>
   <ipxact:name>1_start</ipxact:name>
   <ipxact:addressOffset>0x08</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>1_count</ipxact:name>
   <ipxact:addressOffset>0x0a</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>1_end</ipxact:name>
   <ipxact:addressOffset>0x0c</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>write-only</ipxact:access>
  </ipxact:register>



     <ipxact:vendorExtensions>
          <socgen:componentInstance>timer</socgen:componentInstance>
          <socgen:memoryMap>mb</socgen:memoryMap>
     </ipxact:vendorExtensions>

     </ipxact:addressBlock>


     <ipxact:addressBlock>
       <ipxact:name>uart</ipxact:name>
       <ipxact:range>0x10</ipxact:range>
       <ipxact:width>8</ipxact:width>

 <ipxact:register>
   <ipxact:name>xmit_data</ipxact:name>
   <ipxact:addressOffset>0x00</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>write-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>rcv_data</ipxact:name>
   <ipxact:addressOffset>0x02</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>


 <ipxact:register>
   <ipxact:name>cntrl</ipxact:name>
   <ipxact:addressOffset>0x04</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>status</ipxact:name>
   <ipxact:addressOffset>0x06</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>



     <ipxact:vendorExtensions>
          <socgen:componentInstance>uart</socgen:componentInstance>
          <socgen:memoryMap>mb</socgen:memoryMap>
     </ipxact:vendorExtensions>

     </ipxact:addressBlock>




     <ipxact:addressBlock>
       <ipxact:name>pic</ipxact:name>
       <ipxact:range>0x10</ipxact:range>
       <ipxact:width>8</ipxact:width>

 <ipxact:register>
   <ipxact:name>int_in</ipxact:name>
   <ipxact:addressOffset>0x00</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>irq_enable</ipxact:name>
   <ipxact:addressOffset>0x02</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>nmi_enable</ipxact:name>
   <ipxact:addressOffset>0x04</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>


 <ipxact:register>
   <ipxact:name>irq_act</ipxact:name>
   <ipxact:addressOffset>0x06</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>nmi_act</ipxact:name>
   <ipxact:addressOffset>0x08</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>





     <ipxact:vendorExtensions>
          <socgen:componentInstance>pic</socgen:componentInstance>
          <socgen:memoryMap>mb</socgen:memoryMap>
     </ipxact:vendorExtensions>

     </ipxact:addressBlock>




  <ipxact:addressBlock>
  <ipxact:name>ps2</ipxact:name>
  <ipxact:range>0x10</ipxact:range>
  <ipxact:width>8</ipxact:width>


 <ipxact:register>
   <ipxact:name>data</ipxact:name>
   <ipxact:addressOffset>0x00</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>


 <ipxact:register>
   <ipxact:name>wdata_buf</ipxact:name>
   <ipxact:addressOffset>0x00</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>write-only</ipxact:access>
  </ipxact:register>


 <ipxact:register>
   <ipxact:name>status</ipxact:name>
   <ipxact:addressOffset>0x02</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>cntrl</ipxact:name>
   <ipxact:addressOffset>0x04</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>


 <ipxact:register>
   <ipxact:name>x_pos</ipxact:name>
   <ipxact:addressOffset>0x06</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>y_pos</ipxact:name>
   <ipxact:addressOffset>0x08</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>

     <ipxact:vendorExtensions>
          <socgen:componentInstance>ps2</socgen:componentInstance>
          <socgen:memoryMap>mb</socgen:memoryMap>
     </ipxact:vendorExtensions>

  </ipxact:addressBlock>



     <ipxact:addressBlock>
       <ipxact:name>utimer</ipxact:name>
       <ipxact:range>0x10</ipxact:range>
       <ipxact:width>8</ipxact:width>

 <ipxact:register>
   <ipxact:name>latch</ipxact:name>
   <ipxact:addressOffset>0x00</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>count</ipxact:name>
   <ipxact:addressOffset>0x02</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>


     <ipxact:vendorExtensions>
          <socgen:componentInstance>utimer</socgen:componentInstance>
          <socgen:memoryMap>mb</socgen:memoryMap>
     </ipxact:vendorExtensions>

     </ipxact:addressBlock>




     <ipxact:addressBlock>
       <ipxact:name>vga</ipxact:name>
       <ipxact:range>0x10</ipxact:range>
       <ipxact:width>8</ipxact:width>

 <ipxact:register>
   <ipxact:name>ascii_data</ipxact:name>
   <ipxact:addressOffset>0x00</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>write-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>add_l</ipxact:name>
   <ipxact:addressOffset>0x02</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>write-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>add_h</ipxact:name>
   <ipxact:addressOffset>0x04</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>write-only</ipxact:access>
  </ipxact:register>


 <ipxact:register>
   <ipxact:name>vadd_l</ipxact:name>
   <ipxact:addressOffset>0x02</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>vadd_h</ipxact:name>
   <ipxact:addressOffset>0x04</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>


 <ipxact:register>
   <ipxact:name>cntrl</ipxact:name>
   <ipxact:addressOffset>0x06</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>




 <ipxact:register>
   <ipxact:name>char_color</ipxact:name>
   <ipxact:addressOffset>0x08</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>



 <ipxact:register>
   <ipxact:name>back_color</ipxact:name>
   <ipxact:addressOffset>0x0a</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>


 <ipxact:register>
   <ipxact:name>cursor_color</ipxact:name>
   <ipxact:addressOffset>0x0c</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>

     <ipxact:vendorExtensions>
          <socgen:componentInstance>vga</socgen:componentInstance>
          <socgen:memoryMap>mb</socgen:memoryMap>
     </ipxact:vendorExtensions>

     </ipxact:addressBlock>




     <ipxact:addressBlock>
       <ipxact:name>ext_mem</ipxact:name>
       <ipxact:range>0x10</ipxact:range>
       <ipxact:width>8</ipxact:width>


 <ipxact:register>
   <ipxact:name>bank</ipxact:name>
   <ipxact:addressOffset>0x02</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>wait_st</ipxact:name>
   <ipxact:addressOffset>0x00</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>



     <ipxact:vendorExtensions>
          <socgen:componentInstance>mem</socgen:componentInstance>
          <socgen:memoryMap>mb</socgen:memoryMap>
     </ipxact:vendorExtensions>

     </ipxact:addressBlock>




     <ipxact:addressBlock>
       <ipxact:name>vic</ipxact:name>
       <ipxact:range>0x10</ipxact:range>
       <ipxact:width>8</ipxact:width>


 <ipxact:register>
   <ipxact:name>int_in</ipxact:name>
   <ipxact:addressOffset>0x00</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>irq_enable</ipxact:name>
   <ipxact:addressOffset>0x02</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-write</ipxact:access>
  </ipxact:register>


 <ipxact:register>
   <ipxact:name>irq_act</ipxact:name>
   <ipxact:addressOffset>0x06</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>

 <ipxact:register>
   <ipxact:name>irq_vec</ipxact:name>
   <ipxact:addressOffset>0x08</ipxact:addressOffset>
   <ipxact:size>8</ipxact:size>
   <ipxact:access>read-only</ipxact:access>
  </ipxact:register>


     <ipxact:vendorExtensions>
          <socgen:componentInstance>vic</socgen:componentInstance>
          <socgen:memoryMap>mb</socgen:memoryMap>
     </ipxact:vendorExtensions>

     </ipxact:addressBlock>






   </ipxact:bank>

   </ipxact:memoryMap>











   <ipxact:memoryMap>

   <ipxact:addressUnitBits>17</ipxact:addressUnitBits>
   <ipxact:name>ext</ipxact:name>

   <ipxact:bank>
     <ipxact:name>ext</ipxact:name>
     <ipxact:baseAddress>0x0000</ipxact:baseAddress>
 
     <ipxact:addressBlock>
       <ipxact:name>psram</ipxact:name>
       <ipxact:range>0x10000</ipxact:range>
       <ipxact:width>16</ipxact:width>

     </ipxact:addressBlock>


   </ipxact:bank>

   </ipxact:memoryMap>



</ipxact:memoryMaps>







</ipxact:component>










Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.