OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [ulight_fifo.html] - Rev 40

Compare with Previous | Blame | View Log

<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN">
 
<html xmlns="http://www.w3.org/1999/xhtml">
 <head>
  <title>datasheet for ulight_fifo</title>
  <style type="text/css">
body { font-family:arial ;}
a { text-decoration:underline ; color:#003000 ;}
a:hover { text-decoration:underline ; color:0030f0 ;}
td { padding : 5px ;}
table.topTitle { width:100% ;}
table.topTitle td.l { text-align:left ; font-weight: bold ; font-size:30px ;}
table.topTitle td.r { text-align:right ; font-weight: bold ; font-size:16px ;}
table.blueBar { width : 100% ; border-spacing : 0px ;}
table.blueBar td { background:#0036ff ; font-size:12px ; color : white ; text-align : left ; font-weight : bold ;}
table.blueBar td.l { text-align : left ;}
table.blueBar td.r { text-align : right ;}
table.items { width:100% ; border-collapse:collapse ;}
table.items td.label { font-weight:bold ; font-size:16px ; vertical-align:top ;}
table.items td.mono { font-family:courier ; font-size:12px ; white-space:pre ;}
div.label { font-weight:bold ; font-size:16px ; vertical-align:top ; text-align:center ;}
table.grid { border-collapse:collapse ;}
table.grid td { border:1px solid #bbb ; font-size:12px ;}
body { font-family:arial ;}
table.x { font-family:courier ; border-collapse:collapse ; padding:2px ;}
table.x td { border:1px solid #bbb ;}
td.tableTitle { font-weight:bold ; text-align:center ;}
table.grid { border-collapse:collapse ;}
table.grid td { border:1px solid #bbb ;}
table.grid td.tableTitle { font-weight:bold ; text-align:center ;}
table.mmap { border-collapse:collapse ; text-size:11px ; border:1px solid #d8d8d8 ;}
table.mmap td { border-color:#d8d8d8 ; border-width:1px ; border-style:solid ;}
table.mmap td.empty { border-style:none ; background-color:#f0f0f0 ;}
table.mmap td.slavemodule { text-align:left ; font-size:11px ; border-style:solid solid none solid ;}
table.mmap td.slavem { text-align:right ; font-size:9px ; font-style:italic ; border-style:none solid none solid ;}
table.mmap td.slaveb { text-align:right ; font-size:9px ; font-style:italic ; border-style:none solid solid solid ;}
table.mmap td.mastermodule { text-align:center ; font-size:11px ; border-style:solid solid none solid ;}
table.mmap td.masterlr { text-align:center ; font-size:9px ; font-style:italic ; border-style:none solid solid solid ;}
table.mmap td.masterl { text-align:center ; font-size:9px ; font-style:italic ; border-style:none none solid solid ;}
table.mmap td.masterm { text-align:center ; font-size:9px ; font-style:italic ; border-style:none none solid none ;}
table.mmap td.masterr { text-align:center ; font-size:9px ; font-style:italic ; border-style:none solid solid none ;}
table.mmap td.addr { font-family:courier ; font-size:9px ; text-align:right ;}
table.connectionboxes { border-collapse:separate ; border-spacing:0px ; font-family:arial ;}
table.connectionboxes td.from { border-bottom:1px solid black ; font-size:9px ; font-style:italic ; vertical-align:bottom ; text-align:left ;}
table.connectionboxes td.to { font-size:9px ; font-style:italic ; vertical-align:top ; text-align:right ;}
table.connectionboxes td.lefthandwire { border-bottom:1px solid black ; font-size:9px ; font-style:italic ; vertical-align:bottom ; text-align:right ;}
table.connectionboxes td.righthandwire { border-bottom:1px solid black ; font-size:9px ; font-style:italic ; vertical-align:bottom ; text-align:left ;}
table.connectionboxes td.righthandlabel { font-size:11px ; vertical-align:bottom ; text-align:left ;}
table.connectionboxes td.neighbor { padding:3px ; border:1px solid black ; font-size: 11px ; background:#e8e8e8 ; vertical-align:center ; text-align:center ;}
table.connectionboxes td.main { padding:8px ; border:1px solid black ; font-size: 14px ; font-weight:bold ; background:#ffffff ; vertical-align:center ; text-align:center ;}
.parametersbox { border:1px solid #d0d0d0 ; display:inline-block ; max-height:160px ; overflow:auto ; width:360px ; font-size:10px ;}
.flowbox { display:inline-block ;}
.parametersbox table { font-size:10px ;}
td.parametername { font-style:italic ;}
td.parametervalue { font-weight:bold ;}
div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; border-top:1px solid #707070 ; border-bottom:1px solid #707070 ; padding:20px ; margin:20px ; width:auto ;}</style>
 </head>
 <body>
  <table class="topTitle">
   <tr>
    <td class="l">ulight_fifo</td>
    <td class="r">
     <br/>
     <br/>
    </td>
   </tr>
  </table>
  <table class="blueBar">
   <tr>
    <td class="l">2018.01.23.17:30:45</td>
    <td class="r">Datasheet</td>
   </tr>
  </table>
  <div style="width:100% ;  height:10px"> </div>
  <div class="label">Overview</div>
  <div class="greydiv">
   <div style="display:inline-block ; text-align:left">
    <table class="connectionboxes">
     <tr>
      <td class="lefthandwire">&#160;&#160;clk_0&#160;</td>
      <td class="main" rowspan="2">ulight_fifo</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
    </table>
   </div><span style="display:inline-block ; width:28px"> </span>
   <div style="display:inline-block ; text-align:left"><span>
     <br/>All Components
     <br/>&#160;&#160;
     <a href="#module_auto_start"><b>auto_start</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_clock_sel"><b>clock_sel</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_counter_rx_fifo"><b>counter_rx_fifo</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_counter_tx_fifo"><b>counter_tx_fifo</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_data_flag_rx"><b>data_flag_rx</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_data_info"><b>data_info</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_data_read_en_rx"><b>data_read_en_rx</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_fifo_empty_rx_status"><b>fifo_empty_rx_status</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_fifo_empty_tx_status"><b>fifo_empty_tx_status</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_fifo_full_rx_status"><b>fifo_full_rx_status</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_fifo_full_tx_status"><b>fifo_full_tx_status</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_fsm_info"><b>fsm_info</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_led_pio_test"><b>led_pio_test</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_link_disable"><b>link_disable</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_link_start"><b>link_start</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_timecode_ready_rx"><b>timecode_ready_rx</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_timecode_rx"><b>timecode_rx</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_timecode_tx_data"><b>timecode_tx_data</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_timecode_tx_enable"><b>timecode_tx_enable</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_timecode_tx_ready"><b>timecode_tx_ready</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_write_data_fifo_tx"><b>write_data_fifo_tx</b>
     </a> altera_avalon_pio 17.1
     <br/>&#160;&#160;
     <a href="#module_write_en_tx"><b>write_en_tx</b>
     </a> altera_avalon_pio 17.1</span>
   </div>
  </div>
  <div style="width:100% ;  height:10px"> </div>
  <div class="label">Memory Map</div>
  <table class="mmap">
   <tr>
    <td class="empty" rowspan="2"></td>
    <td class="mastermodule" colspan="1">
     <a href="#module_hps_0"><b>hps_0</b>
     </a>
    </td>
    <td class="mastermodule" colspan="1">
     <a href="#module_hps_0_bridges"><b>hps_0_bridges</b>
     </a>
    </td>
    <td class="mastermodule" colspan="1">
     <a href="#module_hps_0_arm_a9_0"><b>hps_0_arm_a9_0</b>
     </a>
    </td>
    <td class="mastermodule" colspan="1">
     <a href="#module_hps_0_arm_a9_1"><b>hps_0_arm_a9_1</b>
     </a>
    </td>
   </tr>
   <tr>
    <td class="masterlr">&#160;h2f_axi_master</td>
    <td class="masterlr">&#160;axi_f2h</td>
    <td class="masterlr">&#160;altera_axi_master</td>
    <td class="masterlr">&#160;altera_axi_master</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_auto_start"><b>auto_start</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>00080000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c0080000</td>
    <td class="addr"><span style="color:#989898">0x</span>c0080000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_clock_sel"><b>clock_sel</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>0003a000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c003a000</td>
    <td class="addr"><span style="color:#989898">0x</span>c003a000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_counter_rx_fifo"><b>counter_rx_fifo</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>0006a000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c006a000</td>
    <td class="addr"><span style="color:#989898">0x</span>c006a000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_counter_tx_fifo"><b>counter_tx_fifo</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>0005a000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c005a000</td>
    <td class="addr"><span style="color:#989898">0x</span>c005a000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_data_flag_rx"><b>data_flag_rx</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>00030000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c0030000</td>
    <td class="addr"><span style="color:#989898">0x</span>c0030000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_data_info"><b>data_info</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>0002a000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c002a000</td>
    <td class="addr"><span style="color:#989898">0x</span>c002a000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_data_read_en_rx"><b>data_read_en_rx</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>00040000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c0040000</td>
    <td class="addr"><span style="color:#989898">0x</span>c0040000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_fifo_empty_rx_status"><b>fifo_empty_rx_status</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>00060000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c0060000</td>
    <td class="addr"><span style="color:#989898">0x</span>c0060000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_fifo_empty_tx_status"><b>fifo_empty_tx_status</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>000d0000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c00d0000</td>
    <td class="addr"><span style="color:#989898">0x</span>c00d0000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_fifo_full_rx_status"><b>fifo_full_rx_status</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>00050000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c0050000</td>
    <td class="addr"><span style="color:#989898">0x</span>c0050000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_fifo_full_tx_status"><b>fifo_full_tx_status</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>000c0000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c00c0000</td>
    <td class="addr"><span style="color:#989898">0x</span>c00c0000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_fsm_info"><b>fsm_info</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>0004a000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c004a000</td>
    <td class="addr"><span style="color:#989898">0x</span>c004a000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_bridges"><b>hps_0_bridges</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_h2f_lw&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_arm_gic_0"><b>hps_0_arm_gic_0</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slavem">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>fffed000</td>
    <td class="addr"><span style="color:#989898">0x</span>fffed000</td>
   </tr>
   <tr>
    <td class="slavem">axi_slave1&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>fffec100</td>
    <td class="addr"><span style="color:#989898">0x</span>fffec100</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_L2"><b>hps_0_L2</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>fffef000</td>
    <td class="addr"><span style="color:#989898">0x</span>fffef000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_dma"><b>hps_0_dma</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffe01000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffe01000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_sysmgr"><b>hps_0_sysmgr</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffd08000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffd08000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_clkmgr"><b>hps_0_clkmgr</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffd04000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffd04000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_rstmgr"><b>hps_0_rstmgr</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffd05000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffd05000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_fpgamgr"><b>hps_0_fpgamgr</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slavem">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ff706000</td>
    <td class="addr"><span style="color:#989898">0x</span>ff706000</td>
   </tr>
   <tr>
    <td class="slavem">axi_slave1&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffb90000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffb90000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_uart0"><b>hps_0_uart0</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffc02000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffc02000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_uart1"><b>hps_0_uart1</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffc03000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffc03000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_timer0"><b>hps_0_timer0</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffc08000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffc08000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_timer1"><b>hps_0_timer1</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffc09000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffc09000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_timer2"><b>hps_0_timer2</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffd00000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffd00000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_timer3"><b>hps_0_timer3</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffd01000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffd01000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_wd_timer0"><b>hps_0_wd_timer0</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffd02000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffd02000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_wd_timer1"><b>hps_0_wd_timer1</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffd03000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffd03000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_gpio0"><b>hps_0_gpio0</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ff708000</td>
    <td class="addr"><span style="color:#989898">0x</span>ff708000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_gpio1"><b>hps_0_gpio1</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ff709000</td>
    <td class="addr"><span style="color:#989898">0x</span>ff709000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_gpio2"><b>hps_0_gpio2</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ff70a000</td>
    <td class="addr"><span style="color:#989898">0x</span>ff70a000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_i2c0"><b>hps_0_i2c0</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffc04000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffc04000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_i2c1"><b>hps_0_i2c1</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffc05000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffc05000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_i2c2"><b>hps_0_i2c2</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffc06000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffc06000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_i2c3"><b>hps_0_i2c3</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffc07000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffc07000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_nand0"><b>hps_0_nand0</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slavem">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ff900000</td>
    <td class="addr"><span style="color:#989898">0x</span>ff900000</td>
   </tr>
   <tr>
    <td class="slavem">axi_slave1&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffb80000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffb80000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_spim0"><b>hps_0_spim0</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>fff00000</td>
    <td class="addr"><span style="color:#989898">0x</span>fff00000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_spim1"><b>hps_0_spim1</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>fff01000</td>
    <td class="addr"><span style="color:#989898">0x</span>fff01000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_qspi"><b>hps_0_qspi</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slavem">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ff705000</td>
    <td class="addr"><span style="color:#989898">0x</span>ff705000</td>
   </tr>
   <tr>
    <td class="slavem">axi_slave1&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffa00000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffa00000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_sdmmc"><b>hps_0_sdmmc</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ff704000</td>
    <td class="addr"><span style="color:#989898">0x</span>ff704000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_usb0"><b>hps_0_usb0</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffb00000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffb00000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_usb1"><b>hps_0_usb1</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffb40000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffb40000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_gmac0"><b>hps_0_gmac0</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ff700000</td>
    <td class="addr"><span style="color:#989898">0x</span>ff700000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_gmac1"><b>hps_0_gmac1</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ff702000</td>
    <td class="addr"><span style="color:#989898">0x</span>ff702000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_dcan0"><b>hps_0_dcan0</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffc00000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffc00000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_dcan1"><b>hps_0_dcan1</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffc01000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffc01000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_l3regs"><b>hps_0_l3regs</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ff800000</td>
    <td class="addr"><span style="color:#989898">0x</span>ff800000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_sdrctl"><b>hps_0_sdrctl</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffc25000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffc25000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_axi_ocram"><b>hps_0_axi_ocram</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>ffff0000</td>
    <td class="addr"><span style="color:#989898">0x</span>ffff0000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_axi_sdram"><b>hps_0_axi_sdram</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>00000000</td>
    <td class="addr"><span style="color:#989898">0x</span>00000000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_timer"><b>hps_0_timer</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>fffec600</td>
    <td class="addr"><span style="color:#989898">0x</span>fffec600</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_hps_0_scu"><b>hps_0_scu</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">axi_slave0&#160;</td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>fffec000</td>
    <td class="addr"><span style="color:#989898">0x</span>fffec000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_led_pio_test"><b>led_pio_test</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>00000000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c0000000</td>
    <td class="addr"><span style="color:#989898">0x</span>c0000000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_link_disable"><b>link_disable</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>00090000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c0090000</td>
    <td class="addr"><span style="color:#989898">0x</span>c0090000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_link_start"><b>link_start</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>00070000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c0070000</td>
    <td class="addr"><span style="color:#989898">0x</span>c0070000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_timecode_ready_rx"><b>timecode_ready_rx</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>00020000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c0020000</td>
    <td class="addr"><span style="color:#989898">0x</span>c0020000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_timecode_rx"><b>timecode_rx</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>00010000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c0010000</td>
    <td class="addr"><span style="color:#989898">0x</span>c0010000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_timecode_tx_data"><b>timecode_tx_data</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>000e0000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c00e0000</td>
    <td class="addr"><span style="color:#989898">0x</span>c00e0000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_timecode_tx_enable"><b>timecode_tx_enable</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>000f0000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c00f0000</td>
    <td class="addr"><span style="color:#989898">0x</span>c00f0000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_timecode_tx_ready"><b>timecode_tx_ready</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>0001a000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c001a000</td>
    <td class="addr"><span style="color:#989898">0x</span>c001a000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_write_data_fifo_tx"><b>write_data_fifo_tx</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>000a0000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c00a0000</td>
    <td class="addr"><span style="color:#989898">0x</span>c00a0000</td>
   </tr>
   <tr>
    <td class="slavemodule">&#160;
     <a href="#module_write_en_tx"><b>write_en_tx</b>
     </a>
    </td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
    <td class="empty"></td>
   </tr>
   <tr>
    <td class="slaveb">s1&#160;</td>
    <td class="addr"><span style="color:#989898">0x</span>000b0000</td>
    <td class="empty"></td>
    <td class="addr"><span style="color:#989898">0x</span>c00b0000</td>
    <td class="addr"><span style="color:#989898">0x</span>c00b0000</td>
   </tr>
  </table>
  <a name="module_auto_start"> </a>
  <div>
   <hr/>
   <h2>auto_start</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">auto_start</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Output</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_clk_0"> </a>
  <div>
   <hr/>
   <h2>clk_0</h2>clock_source v17.1
   <br/>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">clockFrequency</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">clockFrequencyKnown</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">inputClockFrequency</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">resetSynchronousEdges</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_clock_sel"> </a>
  <div>
   <hr/>
   <h2>clock_sel</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">clock_sel</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Output</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_counter_rx_fifo"> </a>
  <div>
   <hr/>
   <h2>counter_rx_fifo</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">counter_rx_fifo</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Input</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_counter_tx_fifo"> </a>
  <div>
   <hr/>
   <h2>counter_tx_fifo</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">counter_tx_fifo</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Input</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_data_flag_rx"> </a>
  <div>
   <hr/>
   <h2>data_flag_rx</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">data_flag_rx</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Input</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">9</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">9</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_data_info"> </a>
  <div>
   <hr/>
   <h2>data_info</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">data_info</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Input</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">14</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">14</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_data_read_en_rx"> </a>
  <div>
   <hr/>
   <h2>data_read_en_rx</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">data_read_en_rx</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Output</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_fifo_empty_rx_status"> </a>
  <div>
   <hr/>
   <h2>fifo_empty_rx_status</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">fifo_empty_rx_status</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Input</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_fifo_empty_tx_status"> </a>
  <div>
   <hr/>
   <h2>fifo_empty_tx_status</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">fifo_empty_tx_status</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Input</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_fifo_full_rx_status"> </a>
  <div>
   <hr/>
   <h2>fifo_full_rx_status</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">fifo_full_rx_status</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Input</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_fifo_full_tx_status"> </a>
  <div>
   <hr/>
   <h2>fifo_full_tx_status</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">fifo_full_tx_status</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Input</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_fsm_info"> </a>
  <div>
   <hr/>
   <h2>fsm_info</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">fsm_info</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Input</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0"> </a>
  <div>
   <hr/>
   <h2>hps_0</h2>altera_hps v17.1
   <br/>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">AC_ROM_MR0</td>
        <td class="parametervalue">0001000110001</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR0_MIRR</td>
        <td class="parametervalue">0001001001001</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR0_CALIB</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR0_DLL_RESET</td>
        <td class="parametervalue">0001100110000</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR0_DLL_RESET_MIRR</td>
        <td class="parametervalue">0001011001000</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR1</td>
        <td class="parametervalue">0000000000000</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR1_MIRR</td>
        <td class="parametervalue">0000000000000</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR1_CALIB</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR1_OCD_ENABLE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR2</td>
        <td class="parametervalue">0000000001000</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR2_MIRR</td>
        <td class="parametervalue">0000000010000</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR3</td>
        <td class="parametervalue">0000000000000</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR3_MIRR</td>
        <td class="parametervalue">0000000000000</td>
       </tr>
       <tr>
        <td class="parametername">USE_NEG_EDGE_AC_TRANSFER_FOR_HPHY</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MR0_BL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR0_BT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR0_CAS_LATENCY</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">MR0_DLL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR0_WR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR0_PD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_DLL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_ODS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_RTT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_AL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_WL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_TDQS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_QOFF</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_DQS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_RDQS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR2_CWL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR2_ASR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR2_SRT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR2_SRF</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR2_RTT_WR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR3_MPR_RF</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR3_MPR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR3_MPR_AA</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_BL</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MR1_BT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_WC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_WR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR2_RLWL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR3_DS</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MR1_DS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_PASR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_READ_DQS_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_WRITE_DQS_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">SCC_DATA_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_ADDR_WIDTH</td>
        <td class="parametervalue">13</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_ADDR_WIDTH_MIN</td>
        <td class="parametervalue">13</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_ROW_ADDR_WIDTH</td>
        <td class="parametervalue">12</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_COL_ADDR_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DM_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CS_PER_RANK</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_NUMBER_OF_RANKS</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CS_PER_DIMM</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CONTROL_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_BURST_LENGTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_LEVELING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DQS_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CS_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CHIP_BITS</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_BANKADDR_WIDTH</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DQ_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CK_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CLK_EN_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CLK_PAIR_COUNT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DEVICE_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_MAX_NS</td>
        <td class="parametervalue">2.5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_MAX_PS</td>
        <td class="parametervalue">2500.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRC</td>
        <td class="parametervalue">17</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRAS</td>
        <td class="parametervalue">13</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRCD</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRP</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TREFI</td>
        <td class="parametervalue">2101</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRFC</td>
        <td class="parametervalue">23</td>
       </tr>
       <tr>
        <td class="parametername">CFG_TCCD</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TWR</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TFAW</td>
        <td class="parametervalue">12</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRRD</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRTP</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DQS_TO_CLK_CAPTURE_DELAY</td>
        <td class="parametervalue">450</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_TO_DQS_CAPTURE_DELAY</td>
        <td class="parametervalue">100000</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_ODT_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_WTCL_INT</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">FLY_BY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">RDIMM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">LRDIMM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">RDIMM_INT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">LRDIMM_INT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_LRDIMM_RM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_RD_TO_WR_TURNAROUND_OCT</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_WR_TO_RD_TURNAROUND_OCT</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">CTL_RD_TO_PCH_EXTRA_CLK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_RD_TO_RD_EXTRA_CLK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_WR_TO_WR_EXTRA_CLK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_RD_TO_RD_DIFF_CHIP_EXTRA_CLK</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CTL_WR_TO_WR_DIFF_CHIP_EXTRA_CLK</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TYPE</td>
        <td class="parametervalue">DDR3</td>
       </tr>
       <tr>
        <td class="parametername">MEM_MIRROR_ADDRESSING_DEC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ATCL_INT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_REGDIMM_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_LRDIMM_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_VENDOR</td>
        <td class="parametervalue">JEDEC</td>
       </tr>
       <tr>
        <td class="parametername">MEM_FORMAT</td>
        <td class="parametervalue">DISCRETE</td>
       </tr>
       <tr>
        <td class="parametername">AC_PARITY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">RDIMM_CONFIG</td>
        <td class="parametervalue">0000000000000000</td>
       </tr>
       <tr>
        <td class="parametername">LRDIMM_EXTENDED_CONFIG</td>
        <td class="parametervalue">0x000000000000000000</td>
       </tr>
       <tr>
        <td class="parametername">DISCRETE_FLY_BY</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">DEVICE_DEPTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_MIRROR_ADDRESSING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_FREQ_MAX</td>
        <td class="parametervalue">400.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ROW_ADDR_WIDTH</td>
        <td class="parametervalue">12</td>
       </tr>
       <tr>
        <td class="parametername">MEM_COL_ADDR_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DQ_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DQ_PER_DQS</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_BANKADDR_WIDTH</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DM_PINS_EN</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DQSN_EN</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_NUMBER_OF_DIMMS</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_NUMBER_OF_RANKS_PER_DIMM</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_NUMBER_OF_RANKS_PER_DEVICE</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_RANK_MULTIPLICATION_FACTOR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CK_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CS_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_EN_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">ALTMEMPHY_COMPATIBLE_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">NEXTGEN</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_BOARD_BASE_DELAY</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_SIM_VALID_WINDOW</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_GUARANTEED_WRITE_INIT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_VERBOSE</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">PINGPONGPHY_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DUPLICATE_AC</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REFRESH_BURST_VALIDATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AP_MODE_EN</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AP_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_BL</td>
        <td class="parametervalue">OTF</td>
       </tr>
       <tr>
        <td class="parametername">MEM_BT</td>
        <td class="parametervalue">Sequential</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ASR</td>
        <td class="parametervalue">Manual</td>
       </tr>
       <tr>
        <td class="parametername">MEM_SRT</td>
        <td class="parametervalue">Normal</td>
       </tr>
       <tr>
        <td class="parametername">MEM_PD</td>
        <td class="parametervalue">DLL off</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DRV_STR</td>
        <td class="parametervalue">RZQ/6</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DLL_EN</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_RTT_NOM</td>
        <td class="parametervalue">ODT Disabled</td>
       </tr>
       <tr>
        <td class="parametername">MEM_RTT_WR</td>
        <td class="parametervalue">Dynamic ODT off</td>
       </tr>
       <tr>
        <td class="parametername">MEM_WTCL</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ATCL</td>
        <td class="parametervalue">Disabled</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TCL</td>
        <td class="parametervalue">7</td>
       </tr>
       <tr>
        <td class="parametername">MEM_AUTO_LEVELING_MODE</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_USER_LEVELING_MODE</td>
        <td class="parametervalue">Leveling</td>
       </tr>
       <tr>
        <td class="parametername">MEM_INIT_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_INIT_FILE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">DAT_DATA_WIDTH</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TIS</td>
        <td class="parametervalue">175</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TIH</td>
        <td class="parametervalue">250</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDS</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDH</td>
        <td class="parametervalue">125</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSQ</td>
        <td class="parametervalue">120</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TQHS</td>
        <td class="parametervalue">300</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TQH</td>
        <td class="parametervalue">0.38</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSCK</td>
        <td class="parametervalue">400</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSCKDS</td>
        <td class="parametervalue">450</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSCKDM</td>
        <td class="parametervalue">900</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSCKDL</td>
        <td class="parametervalue">1200</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSS</td>
        <td class="parametervalue">0.25</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSH</td>
        <td class="parametervalue">0.35</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TQSH</td>
        <td class="parametervalue">0.38</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDSH</td>
        <td class="parametervalue">0.2</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDSS</td>
        <td class="parametervalue">0.2</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TINIT_US</td>
        <td class="parametervalue">499</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TINIT_CK</td>
        <td class="parametervalue">149700</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TDQSCK</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TMRD_CK</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRAS_NS</td>
        <td class="parametervalue">40.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRCD_NS</td>
        <td class="parametervalue">15.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRP_NS</td>
        <td class="parametervalue">15.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TREFI_US</td>
        <td class="parametervalue">7.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRFC_NS</td>
        <td class="parametervalue">75.0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_TCCD_NS</td>
        <td class="parametervalue">2.5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TWR_NS</td>
        <td class="parametervalue">15.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TWTR</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TFAW_NS</td>
        <td class="parametervalue">37.5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRRD_NS</td>
        <td class="parametervalue">7.5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRTP_NS</td>
        <td class="parametervalue">7.5</td>
       </tr>
       <tr>
        <td class="parametername">EXPORT_CSR_PORT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CSR_ADDR_WIDTH</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">CSR_DATA_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">CSR_BE_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CS_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH</td>
        <td class="parametervalue">22</td>
       </tr>
       <tr>
        <td class="parametername">AVL_BE_WIDTH</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH</td>
        <td class="parametervalue">16</td>
       </tr>
       <tr>
        <td class="parametername">AVL_SYMBOL_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">AVL_SIZE_WIDTH</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">HR_DDIO_OUT_HAS_THREE_REGS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_CSR_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DWIDTH_RATIO</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ODT_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_OUTPUT_REGD</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_MULTIPLES_40_72</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_MULTIPLES_16_24_40_72</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CTL_REGDIMM_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">LOW_LATENCY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CONTROLLER_TYPE</td>
        <td class="parametervalue">nextgen_v110</td>
       </tr>
       <tr>
        <td class="parametername">CTL_TBP_NUM</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CTL_USR_REFRESH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_SELF_REFRESH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_TYPE</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CFG_INTERFACE_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">CFG_BURST_LENGTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">CFG_ADDR_ORDER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_PDN_EXIT_CYCLES</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">CFG_POWER_SAVING_EXIT_CYCLES</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CFG_MEM_CLK_ENTRY_CYCLES</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">CFG_SELF_RFSH_EXIT_CYCLES</td>
        <td class="parametervalue">512</td>
       </tr>
       <tr>
        <td class="parametername">CFG_PORT_WIDTH_WRITE_ODT_CHIP</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CFG_PORT_WIDTH_READ_ODT_CHIP</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CFG_WRITE_ODT_CHIP</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CFG_READ_ODT_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">LOCAL_CS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_CLR_INTR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_ENABLE_NO_DM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ADD_LAT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_BURST_INTERRUPT_INT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_BURST_TERMINATE_INT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CFG_ERRCMD_FIFO_REG</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_ECC_DECODER_REG</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_WDATA_PATH_LATENCY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CFG_STARVE_LIMIT</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">MEM_AUTO_PD_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_PORT</td>
        <td class="parametervalue">Port 0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">ALLOCATED_RFIFO_PORT</td>
        <td class="parametervalue">None,None,None,None,None,None</td>
       </tr>
       <tr>
        <td class="parametername">ALLOCATED_WFIFO_PORT</td>
        <td class="parametervalue">None,None,None,None,None,None</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ATTR_COUNTER_ONE_RESET</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ATTR_COUNTER_ZERO_RESET</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ATTR_STATIC_CONFIG_VALID</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CAL_REQ</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_BURST_LENGTH</td>
        <td class="parametervalue">BL_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_INTERFACE_WIDTH</td>
        <td class="parametervalue">DWIDTH_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_SELF_RFSH_EXIT_CYCLES</td>
        <td class="parametervalue">SELF_RFSH_EXIT_CYCLES_512</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_STARVE_LIMIT</td>
        <td class="parametervalue">STARVE_LIMIT_10</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_TYPE</td>
        <td class="parametervalue">DDR3</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLR_INTR</td>
        <td class="parametervalue">NO_CLR_INTR</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_0</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_1</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_2</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_3</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_4</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_5</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT0_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT0_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT0_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT0_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT1_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT1_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT1_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT1_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT2_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT2_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT2_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT2_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT3_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT3_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT3_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT3_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT4_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT4_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT4_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT4_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT5_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT5_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT5_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT5_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_ADDR_ORDER</td>
        <td class="parametervalue">CHIP_ROW_BANK_COL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_ECC_ENABLED</td>
        <td class="parametervalue">CTL_ECC_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_ECC_RMW_ENABLED</td>
        <td class="parametervalue">CTL_ECC_RMW_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_REGDIMM_ENABLED</td>
        <td class="parametervalue">REGDIMM_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_USR_REFRESH</td>
        <td class="parametervalue">CTL_USR_REFRESH_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTRL_WIDTH</td>
        <td class="parametervalue">DATA_WIDTH_16_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_DELAY_BONDING</td>
        <td class="parametervalue">BONDING_LATENCY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_DFX_BYPASS_ENABLE</td>
        <td class="parametervalue">DFX_BYPASS_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_DISABLE_MERGING</td>
        <td class="parametervalue">MERGING_ENABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ECC_DQ_WIDTH</td>
        <td class="parametervalue">ECC_DQ_WIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_ATPG</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_WRAPBACK</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_DQS_TRACKING</td>
        <td class="parametervalue">ENABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_ECC_CODE_OVERWRITES</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_FAST_EXIT_PPD</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_INTR</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_NO_DM</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_PIPELINEGLOBAL</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_GANGED_ARF</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_GEN_DBE</td>
        <td class="parametervalue">GEN_DBE_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_GEN_SBE</td>
        <td class="parametervalue">GEN_SBE_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_INC_SYNC</td>
        <td class="parametervalue">FIFO_SET_2</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_LOCAL_IF_CS_WIDTH</td>
        <td class="parametervalue">ADDR_WIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MASK_CORR_DROPPED_INTR</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MASK_DBE_INTR</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MASK_SBE_INTR</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_AL</td>
        <td class="parametervalue">AL_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_BANKADDR_WIDTH</td>
        <td class="parametervalue">ADDR_WIDTH_3</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_BURSTLENGTH</td>
        <td class="parametervalue">MEM_IF_BURSTLENGTH_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_COLADDR_WIDTH</td>
        <td class="parametervalue">ADDR_WIDTH_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_CS_PER_RANK</td>
        <td class="parametervalue">MEM_IF_CS_PER_RANK_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_CS_WIDTH</td>
        <td class="parametervalue">MEM_IF_CS_WIDTH_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_DQ_PER_CHIP</td>
        <td class="parametervalue">MEM_IF_DQ_PER_CHIP_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_DQS_WIDTH</td>
        <td class="parametervalue">DQS_WIDTH_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_DWIDTH</td>
        <td class="parametervalue">MEM_IF_DWIDTH_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_MEMTYPE</td>
        <td class="parametervalue">DDR3_SDRAM</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_ROWADDR_WIDTH</td>
        <td class="parametervalue">ADDR_WIDTH_12</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_SPEEDBIN</td>
        <td class="parametervalue">DDR3_800_5_5_5</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TCCD</td>
        <td class="parametervalue">TCCD_4</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TCL</td>
        <td class="parametervalue">TCL_7</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TCWL</td>
        <td class="parametervalue">TCWL_6</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TFAW</td>
        <td class="parametervalue">TFAW_12</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TMRD</td>
        <td class="parametervalue">TMRD_4</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRAS</td>
        <td class="parametervalue">TRAS_13</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRC</td>
        <td class="parametervalue">TRC_17</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRCD</td>
        <td class="parametervalue">TRCD_5</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRP</td>
        <td class="parametervalue">TRP_5</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRRD</td>
        <td class="parametervalue">TRRD_3</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRTP</td>
        <td class="parametervalue">TRTP_3</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TWR</td>
        <td class="parametervalue">TWR_5</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TWTR</td>
        <td class="parametervalue">TWTR_2</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MMR_CFG_MEM_BL</td>
        <td class="parametervalue">MP_BL_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_OUTPUT_REGD</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PDN_EXIT_CYCLES</td>
        <td class="parametervalue">SLOW_EXIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT0_WIDTH</td>
        <td class="parametervalue">PORT_32_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT1_WIDTH</td>
        <td class="parametervalue">PORT_32_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT2_WIDTH</td>
        <td class="parametervalue">PORT_32_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT3_WIDTH</td>
        <td class="parametervalue">PORT_32_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT4_WIDTH</td>
        <td class="parametervalue">PORT_32_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT5_WIDTH</td>
        <td class="parametervalue">PORT_32_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_0</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_1</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_2</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_3</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_4</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_5</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_0</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_1</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_2</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_3</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_4</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_5</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_FIFO_IN_USE_0</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_FIFO_IN_USE_1</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_FIFO_IN_USE_2</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_FIFO_IN_USE_3</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_0</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_1</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_2</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_3</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_4</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_5</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_READ_ODT_CHIP</td>
        <td class="parametervalue">ODT_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_REORDER_DATA</td>
        <td class="parametervalue">DATA_REORDERING</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RFIFO0_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RFIFO1_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RFIFO2_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RFIFO3_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SINGLE_READY_0</td>
        <td class="parametervalue">CONCATENATE_RDY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SINGLE_READY_1</td>
        <td class="parametervalue">CONCATENATE_RDY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SINGLE_READY_2</td>
        <td class="parametervalue">CONCATENATE_RDY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SINGLE_READY_3</td>
        <td class="parametervalue">CONCATENATE_RDY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_0</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_1</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_2</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_3</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_4</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_5</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_TEST_MODE</td>
        <td class="parametervalue">NORMAL_MODE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_0</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_1</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_2</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_3</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_4</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_5</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_0</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_1</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_2</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_3</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_4</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_5</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USE_ALMOST_EMPTY_0</td>
        <td class="parametervalue">EMPTY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USE_ALMOST_EMPTY_1</td>
        <td class="parametervalue">EMPTY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USE_ALMOST_EMPTY_2</td>
        <td class="parametervalue">EMPTY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USE_ALMOST_EMPTY_3</td>
        <td class="parametervalue">EMPTY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_ECC_EN</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_0</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_1</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_2</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_3</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_4</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_5</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO0_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO0_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO1_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO1_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO2_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO2_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO3_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO3_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_0</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_1</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_2</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_3</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_4</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_5</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_FIFO_IN_USE_0</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_FIFO_IN_USE_1</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_FIFO_IN_USE_2</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_FIFO_IN_USE_3</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_0</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_1</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_2</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_3</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_4</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_5</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WRITE_ODT_CHIP</td>
        <td class="parametervalue">ODT_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">INTG_MEM_AUTO_PD_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ACT_TO_ACT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ACT_TO_ACT_DIFF_BANK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ACT_TO_PCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ACT_TO_RDWR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ARF_PERIOD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ARF_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_FOUR_ACT_TO_ACT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_PCH_ALL_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_PCH_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_PDN_PERIOD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_PDN_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_AP_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_PCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_RD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_RD_DIFF_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_WR</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_WR_BC</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_WR_DIFF_CHIP</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_SRF_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_SRF_TO_ZQ_CAL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_AP_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_PCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_RD</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_RD_BC</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_RD_DIFF_CHIP</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_WR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_WR_DIFF_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_MEM_IF_TREFI</td>
        <td class="parametervalue">2101</td>
       </tr>
       <tr>
        <td class="parametername">INTG_MEM_IF_TRFC</td>
        <td class="parametervalue">23</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_COUNTER_ONE_MASK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_COUNTER_ONE_MATCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_COUNTER_ZERO_MASK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_COUNTER_ZERO_MATCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_DEBUG_SELECT_BYTE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_POWER_SAVING_EXIT_CYCLES</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">INTG_MEM_CLK_ENTRY_CYCLES</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BURST_INTERRUPT</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BURST_TERMINATE</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_0_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_0_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_0</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT0_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT0_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT0_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT0_WIDTH</td>
        <td class="parametervalue">PORT_32_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_0</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_0</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_0</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_0</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_0</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_0</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_1_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_1_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_1</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT1_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT1_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT1_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT1_WIDTH</td>
        <td class="parametervalue">PORT_32_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_1</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_1</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_1</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_1</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_1</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_1</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_2_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_2_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_2</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT2_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT2_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT2_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT2_WIDTH</td>
        <td class="parametervalue">PORT_32_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_2</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_2</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_2</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_2</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_2</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_2</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_3_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_3_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_3</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT3_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT3_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT3_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT3_WIDTH</td>
        <td class="parametervalue">PORT_32_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_3</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_3</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_3</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_3</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_3</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_3</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_4_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_4_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_4</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT4_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT4_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT4_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT4_WIDTH</td>
        <td class="parametervalue">PORT_32_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_4</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_4</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_4</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_4</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_4</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_4</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_5_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_5_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_5</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT5_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT5_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT5_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT5_WIDTH</td>
        <td class="parametervalue">PORT_32_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_5</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_5</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_5</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_5</td>
        <td class="parametervalue">PRIORITY_1</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_5</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_5</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RFIFO0_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WFIFO0_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RFIFO1_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WFIFO1_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RFIFO2_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WFIFO2_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RFIFO3_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WFIFO3_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CONTINUE_AFTER_CAL_FAIL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MAX10_CFG</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">POWER_OF_TWO_BUS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">SOPC_COMPAT_RESET</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AVL_MAX_SIZE</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">BYTE_ENABLE</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_CTRL_AVALON_INTERFACE</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">CTL_DEEP_POWERDN_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_SELF_REFRESH_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_POWERDN_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_PD_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_USR_REFRESH_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_AUTOPCH_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ZQCAL_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ADDR_ORDER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_LOOK_AHEAD_DEPTH</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CONTROLLER_LATENCY</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CFG_REORDER_DATA</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">STARVE_LIMIT</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CSR_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CSR_CONNECTION</td>
        <td class="parametervalue">INTERNAL_JTAG</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_HRB_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_AUTO_CORRECTION_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MULTICAST_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_DYNAMIC_BANK_ALLOCATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_DYNAMIC_BANK_NUM</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">DEBUG_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_BURST_MERGE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_BURST_INTERRUPT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_BURST_TERMINATE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">LOCAL_ID_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">RDBUFFER_ADDR_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">WRBUFFER_ADDR_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">MAX_PENDING_WR_CMD</td>
        <td class="parametervalue">16</td>
       </tr>
       <tr>
        <td class="parametername">MAX_PENDING_RD_CMD</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">USE_MM_ADAPTOR</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">USE_AXI_ADAPTOR</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HCX_COMPAT_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CMD_QUEUE_DEPTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CSR_READ_ONLY</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CFG_DATA_REORDERING_TYPE</td>
        <td class="parametervalue">INTER_BANK</td>
       </tr>
       <tr>
        <td class="parametername">NUM_OF_PORTS</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_BONDING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_USER_ECC</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT</td>
        <td class="parametervalue">32,32,32,32,32,32</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT</td>
        <td class="parametervalue">1,1,1,1,1,1</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT</td>
        <td class="parametervalue">0,0,0,0,0,0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT</td>
        <td class="parametervalue">Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional</td>
       </tr>
       <tr>
        <td class="parametername">CORE_PERIPHERY_DUAL_CLOCK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_DR_CLK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DLL_USE_DR_CLK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_2X_FF</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DUAL_WRITE_CLOCK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">GENERIC_PLL</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">USE_HARD_READ_FIFO</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">READ_FIFO_HALF_RATE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MASTER</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">DLL_MASTER</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">PHY_VERSION_NUMBER</td>
        <td class="parametervalue">171</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NIOS_OCI</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_EMIT_JTAG_MASTER</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NIOS_JTAG_UART</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NIOS_PRINTF_OUTPUT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_LARGE_RW_MGR_DI_BUFFER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_EMIT_BFM_MASTER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_SEQUENCER_TCL_DEBUG_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_SEQUENCER_MARGINING_ON_BY_DEFAULT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_MAX_SIZE_SEQ_MEM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MAKE_INTERNAL_NIOS_VISIBLE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DEPLOY_SEQUENCER_SW_FILES_FOR_DEBUG</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_CSR_SOFT_RESET_REQ</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">DUPLICATE_PLL_FOR_PHY_CLK</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MAX_LATENCY_COUNT_WIDTH</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">READ_VALID_FIFO_SIZE</td>
        <td class="parametervalue">16</td>
       </tr>
       <tr>
        <td class="parametername">EXTRA_VFIFO_SHIFT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">TB_MEM_CLK_FREQ</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">TB_RATE</td>
        <td class="parametervalue">FULL</td>
       </tr>
       <tr>
        <td class="parametername">TB_MEM_IF_DQ_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">TB_MEM_IF_READ_DQS_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">TB_PLL_DLL_MASTER</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">FAST_SIM_CALIBRATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ</td>
        <td class="parametervalue">125.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_STR</td>
        <td class="parametervalue">125.0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_NS</td>
        <td class="parametervalue">8.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_PS</td>
        <td class="parametervalue">8000.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_STR</td>
        <td class="parametervalue">300.0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue">3334 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_STR</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_MULT</td>
        <td class="parametervalue">24</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_DIV</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_STR</td>
        <td class="parametervalue">300.0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue">3334 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_STR</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_MULT</td>
        <td class="parametervalue">24</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_DIV</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_STR</td>
        <td class="parametervalue">300.0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue">3334 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS</td>
        <td class="parametervalue">2500</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_STR</td>
        <td class="parametervalue">2500 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_DEG</td>
        <td class="parametervalue">270.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">2500</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue">2500 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">270.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_MULT</td>
        <td class="parametervalue">24</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_DIV</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_STR</td>
        <td class="parametervalue">300.0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue">3334 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS</td>
        <td class="parametervalue">2500</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_STR</td>
        <td class="parametervalue">2500 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_DEG</td>
        <td class="parametervalue">270.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">2500</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue">2500 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">270.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_MULT</td>
        <td class="parametervalue">24</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_DIV</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_STR</td>
        <td class="parametervalue">300.0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue">6668 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_STR</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_MULT</td>
        <td class="parametervalue">24</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_DIV</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ</td>
        <td class="parametervalue">60.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue">16670 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_DEG</td>
        <td class="parametervalue">10.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">10.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_DIV</td>
        <td class="parametervalue">5000000</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ</td>
        <td class="parametervalue">20.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue">50010 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_DIV</td>
        <td class="parametervalue">15000000</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue">3334 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_DIV</td>
        <td class="parametervalue">1000000</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_CACHE_VALID</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_PARAM_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_MIN_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_MAX_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_MIN_CACHE</td>
        <td class="parametervalue">10.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_MAX_CACHE</td>
        <td class="parametervalue">500.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_CACHE</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue">3334 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_MULT_CACHE</td>
        <td class="parametervalue">24</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_DIV_CACHE</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_CACHE</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue">3334 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_MULT_CACHE</td>
        <td class="parametervalue">24</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_DIV_CACHE</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_CACHE</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue">3334 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">2500</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue">2500 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_MULT_CACHE</td>
        <td class="parametervalue">24</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_DIV_CACHE</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_CACHE</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue">3334 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">2500</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue">2500 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_MULT_CACHE</td>
        <td class="parametervalue">24</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_DIV_CACHE</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_CACHE</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue">6668 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_MULT_CACHE</td>
        <td class="parametervalue">24</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_DIV_CACHE</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">SPEED_GRADE_CACHE</td>
        <td class="parametervalue">7</td>
       </tr>
       <tr>
        <td class="parametername">IS_ES_DEVICE_CACHE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_FREQ_CACHE</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_CACHE</td>
        <td class="parametervalue">125.0</td>
       </tr>
       <tr>
        <td class="parametername">RATE_CACHE</td>
        <td class="parametervalue">Full</td>
       </tr>
       <tr>
        <td class="parametername">HCX_COMPAT_MODE_CACHE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY_CACHE</td>
        <td class="parametervalue">CYCLONEV</td>
       </tr>
       <tr>
        <td class="parametername">COMMAND_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CK_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">P2C_READ_CLOCK_ADD_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">C2P_WRITE_CLOCK_ADD_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">ACV_PHY_CLK_ADD_FR_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">SEQUENCER_TYPE_CACHE</td>
        <td class="parametervalue">NIOS</td>
       </tr>
       <tr>
        <td class="parametername">USE_MEM_CLK_FREQ_CACHE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CLK_CACHE_VALID</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CLK_PARAM_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_EXTRA_REPORTING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">NUM_EXTRA_REPORT_PATH</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_ISS_PROBES</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CALIB_REG_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">USE_SEQUENCER_BFM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PLL_SHARING_MODE</td>
        <td class="parametervalue">None</td>
       </tr>
       <tr>
        <td class="parametername">NUM_PLL_SHARING_INTERFACES</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">EXPORT_AFI_HALF_CLK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ABSTRACT_REAL_COMPARE_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">INCLUDE_BOARD_DELAY_MODEL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">INCLUDE_MULTIRANK_BOARD_DELAY_MODEL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_FAKE_PHY_INTERNAL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_FAKE_PHY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_MAX_LATENCY_COUNT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">USE_ALL_AFI_PHASES_FOR_COMMAND_ISSUE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NON_DESTRUCTIVE_CALIB</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FIX_READ_LATENCY</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">USE_USER_RDIMM_VALUE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_DELAY_CHAIN_WRITE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TRACKING_ERROR_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TRACKING_WATCH_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MARGIN_VARIATION_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_USER_ADD_0</td>
        <td class="parametervalue">0_0000_0000_0000</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_USER_ADD_1</td>
        <td class="parametervalue">0_0000_0000_1000</td>
       </tr>
       <tr>
        <td class="parametername">TREFI</td>
        <td class="parametervalue">35100</td>
       </tr>
       <tr>
        <td class="parametername">REFRESH_INTERVAL</td>
        <td class="parametervalue">15000</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NON_DES_CAL_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TRFC</td>
        <td class="parametervalue">350</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NON_DES_CAL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">EXTRA_SETTINGS</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">MEM_DEVICE</td>
        <td class="parametervalue">MISSING_MODEL</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_SYNTHESIS_LANGUAGE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">NUM_SUBGROUP_PER_READ_DQS</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">QVLD_EXTRA_FLOP_STAGES</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">QVLD_WR_ADDRESS_OFFSET</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MAX_WRITE_LATENCY_COUNT_WIDTH</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">NUM_WRITE_PATH_FLOP_STAGES</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">NUM_AC_FR_CYCLE_SHIFTS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">FORCED_NUM_WRITE_FR_CYCLE_SHIFTS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">NUM_WRITE_FR_CYCLE_SHIFTS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PERFORM_READ_AFTER_WRITE_CALIBRATION</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">SEQ_BURST_COUNT_WIDTH</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">VCALIB_COUNT_WIDTH</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">PLL_PHASE_COUNTER_WIDTH</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">DQS_DELAY_CHAIN_PHASE_SETTING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DQS_PHASE_SHIFT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DELAYED_CLOCK_PHASE_SETTING</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQS_IN_RESERVE</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQS_OUT_RESERVE</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQ_OUT_RESERVE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_DM_OUT_RESERVE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQS_EN_DELAY_OFFSET</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQS_EN_PHASE_MAX</td>
        <td class="parametervalue">7</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQDQS_OUT_PHASE_MAX</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_SHIFT_DQS_EN_WHEN_SHIFT_DQS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_NS</td>
        <td class="parametervalue">3.333</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_PS</td>
        <td class="parametervalue">3333.0</td>
       </tr>
       <tr>
        <td class="parametername">CALIB_LFIFO_OFFSET</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">CALIB_VFIFO_OFFSET</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_PER_OPA_TAP</td>
        <td class="parametervalue">416</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_PER_DCHAIN_TAP</td>
        <td class="parametervalue">25</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_PER_DQS_EN_DCHAIN_TAP</td>
        <td class="parametervalue">25</td>
       </tr>
       <tr>
        <td class="parametername">DQS_EN_DELAY_MAX</td>
        <td class="parametervalue">31</td>
       </tr>
       <tr>
        <td class="parametername">DQS_IN_DELAY_MAX</td>
        <td class="parametervalue">31</td>
       </tr>
       <tr>
        <td class="parametername">IO_IN_DELAY_MAX</td>
        <td class="parametervalue">31</td>
       </tr>
       <tr>
        <td class="parametername">IO_OUT1_DELAY_MAX</td>
        <td class="parametervalue">31</td>
       </tr>
       <tr>
        <td class="parametername">IO_OUT2_DELAY_MAX</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_STANDARD</td>
        <td class="parametervalue">SSTL-15</td>
       </tr>
       <tr>
        <td class="parametername">VFIFO_AS_SHIFT_REG</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">SEQUENCER_TYPE</td>
        <td class="parametervalue">NIOS</td>
       </tr>
       <tr>
        <td class="parametername">NIOS_HEX_FILE_LOCATION</td>
        <td class="parametervalue">../</td>
       </tr>
       <tr>
        <td class="parametername">ADVERTIZE_SEQUENCER_SW_BUILD_FILES</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">NEGATIVE_WRITE_CK_PHASE</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_T_WL</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">MEM_T_RL</td>
        <td class="parametervalue">7</td>
       </tr>
       <tr>
        <td class="parametername">PHY_CLKBUF</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_LDC_AS_LOW_SKEW_CLOCK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_LDC_FOR_ADDR_CMD</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_LDC_MEM_CK_ADJUSTMENT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CK_LDC_ADJUSTMENT_THRESHOLD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">LDC_FOR_ADDR_CMD_MEM_CK_CPS_INVERT</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">LDC_FOR_ADDR_CMD_MEM_CK_CPS_PHASE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">FORCED_NON_LDC_ADDR_CMD_MEM_CK_INVERT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">NON_LDC_ADDR_CMD_MEM_CK_INVERT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REGISTER_C2P</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">EARLY_ADDR_CMD_CLK_TRANSFER</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MAX10_RTL_SEQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PHY_ONLY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">SEQ_MODE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">ADVANCED_CK_PHASES</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">COMMAND_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CK_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">P2C_READ_CLOCK_ADD_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">C2P_WRITE_CLOCK_ADD_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">ACV_PHY_CLK_ADD_FR_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_VOLTAGE</td>
        <td class="parametervalue">1.5V DDR3</td>
       </tr>
       <tr>
        <td class="parametername">PLL_LOCATION</td>
        <td class="parametervalue">Top_Bottom</td>
       </tr>
       <tr>
        <td class="parametername">SKIP_MEM_INIT</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">READ_DQ_DQS_CLOCK_SOURCE</td>
        <td class="parametervalue">INVERTED_DQS_BUS</td>
       </tr>
       <tr>
        <td class="parametername">DQ_INPUT_REG_USE_CLKN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DQS_DQSN_MODE</td>
        <td class="parametervalue">DIFFERENTIAL</td>
       </tr>
       <tr>
        <td class="parametername">AFI_DEBUG_INFO_WIDTH</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">CALIBRATION_MODE</td>
        <td class="parametervalue">Skip</td>
       </tr>
       <tr>
        <td class="parametername">NIOS_ROM_DATA_WIDTH</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">NIOS_ROM_ADDRESS_WIDTH</td>
        <td class="parametervalue">13</td>
       </tr>
       <tr>
        <td class="parametername">READ_FIFO_SIZE</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">PHY_CSR_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PHY_CSR_CONNECTION</td>
        <td class="parametervalue">INTERNAL_JTAG</td>
       </tr>
       <tr>
        <td class="parametername">USER_DEBUG_LEVEL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DERATE_METHOD</td>
        <td class="parametervalue">AUTO</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_CK_CKN_SLEW_RATE</td>
        <td class="parametervalue">2.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_SLEW_RATE</td>
        <td class="parametervalue">1.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQS_DQSN_SLEW_RATE</td>
        <td class="parametervalue">2.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_SLEW_RATE</td>
        <td class="parametervalue">1.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_CK_CKN_SLEW_RATE_APPLIED</td>
        <td class="parametervalue">2.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_SLEW_RATE_APPLIED</td>
        <td class="parametervalue">1.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQS_DQSN_SLEW_RATE_APPLIED</td>
        <td class="parametervalue">2.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_SLEW_RATE_APPLIED</td>
        <td class="parametervalue">1.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TIS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TIH</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TDS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TDH</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TIS_APPLIED</td>
        <td class="parametervalue">0.35</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TIH_APPLIED</td>
        <td class="parametervalue">0.35</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TDS_APPLIED</td>
        <td class="parametervalue">0.225</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TDH_APPLIED</td>
        <td class="parametervalue">0.225</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_ISI_METHOD</td>
        <td class="parametervalue">AUTO</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_EYE_REDUCTION_SU</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_EYE_REDUCTION_H</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_EYE_REDUCTION</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DELTA_DQS_ARRIVAL_TIME</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_READ_DQ_EYE_REDUCTION</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DELTA_READ_DQS_ARRIVAL_TIME</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_EYE_REDUCTION_SU_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_EYE_REDUCTION_H_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_EYE_REDUCTION_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DELTA_DQS_ARRIVAL_TIME_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_READ_DQ_EYE_REDUCTION_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DELTA_READ_DQS_ARRIVAL_TIME_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PACKAGE_DESKEW</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AC_PACKAGE_DESKEW</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_MAX_CK_DELAY</td>
        <td class="parametervalue">0.6</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_MAX_DQS_DELAY</td>
        <td class="parametervalue">0.6</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_CKDQS_DIMM_MIN</td>
        <td class="parametervalue">-0.01</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_CKDQS_DIMM_MIN_APPLIED</td>
        <td class="parametervalue">-0.01</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_CKDQS_DIMM_MAX</td>
        <td class="parametervalue">0.01</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_CKDQS_DIMM_MAX_APPLIED</td>
        <td class="parametervalue">0.01</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_BETWEEN_DIMMS</td>
        <td class="parametervalue">0.05</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_BETWEEN_DIMMS_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_WITHIN_DQS</td>
        <td class="parametervalue">0.02</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_BETWEEN_DQS</td>
        <td class="parametervalue">0.02</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_TO_DQS_SKEW</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_SKEW</td>
        <td class="parametervalue">0.02</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_TO_CK_SKEW</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">RATE</td>
        <td class="parametervalue">Full</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_FREQ</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">USE_MEM_CLK_FREQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_DQS_TRACKING</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_DQS_TRACKING</td>
        <td class="parametervalue">AUTO</td>
       </tr>
       <tr>
        <td class="parametername">USE_HPS_DQS_TRACKING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TRK_PARALLEL_SCC_LOAD</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_SHADOW_REGS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_SHADOW_REGS</td>
        <td class="parametervalue">AUTO</td>
       </tr>
       <tr>
        <td class="parametername">DQ_DDR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">ADDR_CMD_DDR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">AFI_RATE_RATIO</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DATA_RATE_RATIO</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">ADDR_RATE_RATIO</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">AFI_ADDR_WIDTH</td>
        <td class="parametervalue">26</td>
       </tr>
       <tr>
        <td class="parametername">AFI_BANKADDR_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">AFI_CONTROL_WIDTH</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">AFI_CS_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">AFI_CLK_EN_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">AFI_DM_WIDTH</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">AFI_DQ_WIDTH</td>
        <td class="parametervalue">16</td>
       </tr>
       <tr>
        <td class="parametername">AFI_ODT_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">AFI_WRITE_DQS_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">AFI_RLAT_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">AFI_WLAT_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">AFI_RRANK_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_WRANK_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_CLK_PAIR_COUNT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MRS_MIRROR_PING_PONG_ATSO</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">SYS_INFO_DEVICE_FAMILY</td>
        <td class="parametervalue">CYCLONEV</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY</td>
        <td class="parametervalue">CYCLONEV</td>
       </tr>
       <tr>
        <td class="parametername">DEVICE_FAMILY</td>
        <td class="parametervalue">Cyclone V</td>
       </tr>
       <tr>
        <td class="parametername">PRE_V_SERIES_FAMILY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY_CACHE_VALID</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY_PARAM_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">DEVICE_FAMILY_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">SPEED_GRADE</td>
        <td class="parametervalue">7</td>
       </tr>
       <tr>
        <td class="parametername">IS_ES_DEVICE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DISABLE_CHILD_MESSAGING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HARD_PHY</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">HARD_EMIF</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">HHP_HPS</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">HHP_HPS_VERIFICATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HHP_HPS_SIMULATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HPS_PROTOCOL</td>
        <td class="parametervalue">DDR3</td>
       </tr>
       <tr>
        <td class="parametername">CUT_NEW_FAMILY_TIMING</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_EXPORT_SEQ_DEBUG_BRIDGE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CORE_DEBUG_CONNECTION</td>
        <td class="parametervalue">EXPORT</td>
       </tr>
       <tr>
        <td class="parametername">ADD_EXTERNAL_SEQ_DEBUG_NIOS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ED_EXPORT_SEQ_DEBUG</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ADD_EFFICIENCY_MONITOR</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_ABS_RAM_MEM_INIT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_ABS_RAM_INTERNAL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_ABSTRACT_RAM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ABS_RAM_MEM_INIT_FILENAME</td>
        <td class="parametervalue">meminit</td>
       </tr>
       <tr>
        <td class="parametername">DLL_DELAY_CTRL_WIDTH</td>
        <td class="parametervalue">7</td>
       </tr>
       <tr>
        <td class="parametername">DLL_OFFSET_CTRL_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_BUFFER_MODE</td>
        <td class="parametervalue">HIGH</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_CHAIN_LENGTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">DLL_SHARING_MODE</td>
        <td class="parametervalue">None</td>
       </tr>
       <tr>
        <td class="parametername">NUM_DLL_SHARING_INTERFACES</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">OCT_TERM_CONTROL_WIDTH</td>
        <td class="parametervalue">16</td>
       </tr>
       <tr>
        <td class="parametername">OCT_SHARING_MODE</td>
        <td class="parametervalue">None</td>
       </tr>
       <tr>
        <td class="parametername">NUM_OCT_SHARING_INTERFACES</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">show_advanced_parameters</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">configure_advanced_parameters</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">device_pll_info_auto</td>
        <td class="parametervalue">{320000000 1850000000} {320000000 1000000000} {925000000 400000000 400000000}</td>
       </tr>
       <tr>
        <td class="parametername">customize_device_pll_info</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">device_pll_info_manual</td>
        <td class="parametervalue">{320000000 1600000000} {320000000 1000000000} {800000000 400000000 400000000}</td>
       </tr>
       <tr>
        <td class="parametername">show_debug_info_as_warning_msg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">show_warning_as_error_msg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">eosc1_clk_mhz</td>
        <td class="parametervalue">50.0</td>
       </tr>
       <tr>
        <td class="parametername">eosc1_clk_hz</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">eosc2_clk_mhz</td>
        <td class="parametervalue">50.0</td>
       </tr>
       <tr>
        <td class="parametername">eosc2_clk_hz</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_SDRAMCLK_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_PERIPHCLK_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_SDRAMCLK_FREQ</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_SDRAMCLK_FREQ_MHZ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_PERIPHCLK_FREQ</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_PERIPHCLK_FREQ_MHZ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_source</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">sdmmc_clk_source</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">nand_clk_source</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">qspi_clk_source</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">l4_mp_clk_source</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">l4_sp_clk_source</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">default_mpu_clk_mhz</td>
        <td class="parametervalue">925.0</td>
       </tr>
       <tr>
        <td class="parametername">default_mpu_clk_hz</td>
        <td class="parametervalue">925000000</td>
       </tr>
       <tr>
        <td class="parametername">use_default_mpu_clk</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">desired_mpu_clk_mhz</td>
        <td class="parametervalue">800.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_mpu_clk_hz</td>
        <td class="parametervalue">800000000</td>
       </tr>
       <tr>
        <td class="parametername">l3_mp_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">l3_sp_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">dbctrl_stayosc1</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">dbg_at_clk_div</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">dbg_trace_clk_div</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_l4_mp_clk_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_l4_mp_clk_hz</td>
        <td class="parametervalue">100000000</td>
       </tr>
       <tr>
        <td class="parametername">desired_l4_sp_clk_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_l4_sp_clk_hz</td>
        <td class="parametervalue">100000000</td>
       </tr>
       <tr>
        <td class="parametername">desired_cfg_clk_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_cfg_clk_hz</td>
        <td class="parametervalue">100000000</td>
       </tr>
       <tr>
        <td class="parametername">desired_sdmmc_clk_mhz</td>
        <td class="parametervalue">200.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_sdmmc_clk_hz</td>
        <td class="parametervalue">200000000</td>
       </tr>
       <tr>
        <td class="parametername">desired_nand_clk_mhz</td>
        <td class="parametervalue">12.5</td>
       </tr>
       <tr>
        <td class="parametername">desired_nand_clk_hz</td>
        <td class="parametervalue">12500000</td>
       </tr>
       <tr>
        <td class="parametername">desired_qspi_clk_mhz</td>
        <td class="parametervalue">400.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_qspi_clk_hz</td>
        <td class="parametervalue">400000000</td>
       </tr>
       <tr>
        <td class="parametername">desired_emac0_clk_mhz</td>
        <td class="parametervalue">250.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_emac0_clk_hz</td>
        <td class="parametervalue">250000000</td>
       </tr>
       <tr>
        <td class="parametername">desired_emac1_clk_mhz</td>
        <td class="parametervalue">250.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_emac1_clk_hz</td>
        <td class="parametervalue">250000000</td>
       </tr>
       <tr>
        <td class="parametername">desired_usb_mp_clk_mhz</td>
        <td class="parametervalue">200.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_usb_mp_clk_hz</td>
        <td class="parametervalue">200000000</td>
       </tr>
       <tr>
        <td class="parametername">desired_spi_m_clk_mhz</td>
        <td class="parametervalue">200.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_spi_m_clk_hz</td>
        <td class="parametervalue">200000000</td>
       </tr>
       <tr>
        <td class="parametername">desired_can0_clk_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_can0_clk_hz</td>
        <td class="parametervalue">100000000</td>
       </tr>
       <tr>
        <td class="parametername">desired_can1_clk_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_can1_clk_hz</td>
        <td class="parametervalue">100000000</td>
       </tr>
       <tr>
        <td class="parametername">desired_gpio_db_clk_hz</td>
        <td class="parametervalue">32000</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER0CLK_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER1CLK_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER2CLK_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER0CLK_FREQ</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER0CLK_FREQ_HZ</td>
        <td class="parametervalue">100000000</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER1CLK_FREQ</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER1CLK_FREQ_HZ</td>
        <td class="parametervalue">100000000</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER2CLK_FREQ</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER2CLK_FREQ_HZ</td>
        <td class="parametervalue">100000000</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER2CLK</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_m_auto</td>
        <td class="parametervalue">36</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_n_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_vco_auto_hz</td>
        <td class="parametervalue">1850000000</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_vco_auto_mhz</td>
        <td class="parametervalue">1850.0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c0_internal_auto</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c1_internal_auto</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c2_internal_auto</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c3_auto</td>
        <td class="parametervalue">511</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c4_auto</td>
        <td class="parametervalue">511</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c5_auto</td>
        <td class="parametervalue">18</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_m</td>
        <td class="parametervalue">63</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_n</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_vco_hz</td>
        <td class="parametervalue">-1094967296</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_vco_mhz</td>
        <td class="parametervalue">-1094.967296</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c0_internal</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c1_internal</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c2_internal</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c3</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c4</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c5</td>
        <td class="parametervalue">15</td>
       </tr>
       <tr>
        <td class="parametername">mpu_base_clk_hz</td>
        <td class="parametervalue">925000000</td>
       </tr>
       <tr>
        <td class="parametername">mpu_base_clk_mhz</td>
        <td class="parametervalue">925.0</td>
       </tr>
       <tr>
        <td class="parametername">main_clk_hz</td>
        <td class="parametervalue">370000000</td>
       </tr>
       <tr>
        <td class="parametername">main_clk_mhz</td>
        <td class="parametervalue">370.0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_base_clk_hz</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">dbg_base_clk_mhz</td>
        <td class="parametervalue">50.0</td>
       </tr>
       <tr>
        <td class="parametername">main_qspi_clk_hz</td>
        <td class="parametervalue">3613281</td>
       </tr>
       <tr>
        <td class="parametername">main_qspi_clk_mhz</td>
        <td class="parametervalue">3.613281</td>
       </tr>
       <tr>
        <td class="parametername">main_nand_sdmmc_clk_hz</td>
        <td class="parametervalue">3613281</td>
       </tr>
       <tr>
        <td class="parametername">main_nand_sdmmc_clk_mhz</td>
        <td class="parametervalue">3.613281</td>
       </tr>
       <tr>
        <td class="parametername">cfg_h2f_user0_clk_hz</td>
        <td class="parametervalue">97368421</td>
       </tr>
       <tr>
        <td class="parametername">cfg_h2f_user0_clk_mhz</td>
        <td class="parametervalue">97.368421</td>
       </tr>
       <tr>
        <td class="parametername">mpu_periph_clk_hz</td>
        <td class="parametervalue">231250000</td>
       </tr>
       <tr>
        <td class="parametername">mpu_periph_clk_mhz</td>
        <td class="parametervalue">231.25</td>
       </tr>
       <tr>
        <td class="parametername">mpu_l2_ram_clk_hz</td>
        <td class="parametervalue">462500000</td>
       </tr>
       <tr>
        <td class="parametername">mpu_l2_ram_clk_mhz</td>
        <td class="parametervalue">462.5</td>
       </tr>
       <tr>
        <td class="parametername">mpu_clk_hz</td>
        <td class="parametervalue">925000000</td>
       </tr>
       <tr>
        <td class="parametername">mpu_clk_mhz</td>
        <td class="parametervalue">925.0</td>
       </tr>
       <tr>
        <td class="parametername">l3_mp_clk_hz</td>
        <td class="parametervalue">185000000</td>
       </tr>
       <tr>
        <td class="parametername">l3_mp_clk_mhz</td>
        <td class="parametervalue">185.0</td>
       </tr>
       <tr>
        <td class="parametername">l3_sp_clk_hz</td>
        <td class="parametervalue">92500000</td>
       </tr>
       <tr>
        <td class="parametername">l3_sp_clk_mhz</td>
        <td class="parametervalue">92.5</td>
       </tr>
       <tr>
        <td class="parametername">dbg_at_clk_hz</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">dbg_at_clk_mhz</td>
        <td class="parametervalue">50.0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_clk_hz</td>
        <td class="parametervalue">25000000</td>
       </tr>
       <tr>
        <td class="parametername">dbg_clk_mhz</td>
        <td class="parametervalue">25.0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_trace_clk_hz</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">dbg_trace_clk_mhz</td>
        <td class="parametervalue">50.0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_timer_clk_hz</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">dbg_timer_clk_mhz</td>
        <td class="parametervalue">50.0</td>
       </tr>
       <tr>
        <td class="parametername">cfg_clk_hz</td>
        <td class="parametervalue">97368421</td>
       </tr>
       <tr>
        <td class="parametername">cfg_clk_mhz</td>
        <td class="parametervalue">97.368421</td>
       </tr>
       <tr>
        <td class="parametername">h2f_user0_clk_hz</td>
        <td class="parametervalue">97368421</td>
       </tr>
       <tr>
        <td class="parametername">h2f_user0_clk_mhz</td>
        <td class="parametervalue">97.368421</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_m_auto</td>
        <td class="parametervalue">19</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_n_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_vco_auto_hz</td>
        <td class="parametervalue">1000000000</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_vco_auto_mhz</td>
        <td class="parametervalue">1000.0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c0_auto</td>
        <td class="parametervalue">511</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c1_auto</td>
        <td class="parametervalue">511</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c2_auto</td>
        <td class="parametervalue">511</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c3_auto</td>
        <td class="parametervalue">511</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c4_auto</td>
        <td class="parametervalue">9</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c5_auto</td>
        <td class="parametervalue">511</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_m</td>
        <td class="parametervalue">79</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_n</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_vco_hz</td>
        <td class="parametervalue">2000000000</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_vco_mhz</td>
        <td class="parametervalue">2000.0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c0</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c1</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c3</td>
        <td class="parametervalue">19</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c4</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c5</td>
        <td class="parametervalue">9</td>
       </tr>
       <tr>
        <td class="parametername">periph_qspi_clk_hz</td>
        <td class="parametervalue">1953125</td>
       </tr>
       <tr>
        <td class="parametername">periph_qspi_clk_mhz</td>
        <td class="parametervalue">1.953125</td>
       </tr>
       <tr>
        <td class="parametername">periph_nand_sdmmc_clk_hz</td>
        <td class="parametervalue">1953125</td>
       </tr>
       <tr>
        <td class="parametername">periph_nand_sdmmc_clk_mhz</td>
        <td class="parametervalue">1.953125</td>
       </tr>
       <tr>
        <td class="parametername">periph_base_clk_hz</td>
        <td class="parametervalue">100000000</td>
       </tr>
       <tr>
        <td class="parametername">periph_base_clk_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">usb_mp_clk_div_auto</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">spi_m_clk_div_auto</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">can0_clk_div_auto</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">can1_clk_div_auto</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">gpio_db_clk_div_auto</td>
        <td class="parametervalue">16777215</td>
       </tr>
       <tr>
        <td class="parametername">usb_mp_clk_div</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">spi_m_clk_div</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">can0_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">can1_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gpio_db_clk_div</td>
        <td class="parametervalue">6249</td>
       </tr>
       <tr>
        <td class="parametername">emac0_clk_hz</td>
        <td class="parametervalue">1953125</td>
       </tr>
       <tr>
        <td class="parametername">emac0_clk_mhz</td>
        <td class="parametervalue">1.953125</td>
       </tr>
       <tr>
        <td class="parametername">emac1_clk_hz</td>
        <td class="parametervalue">1953125</td>
       </tr>
       <tr>
        <td class="parametername">emac1_clk_mhz</td>
        <td class="parametervalue">1.953125</td>
       </tr>
       <tr>
        <td class="parametername">h2f_user1_clk_hz</td>
        <td class="parametervalue">1953125</td>
       </tr>
       <tr>
        <td class="parametername">h2f_user1_clk_mhz</td>
        <td class="parametervalue">1.953125</td>
       </tr>
       <tr>
        <td class="parametername">usb_mp_clk_hz</td>
        <td class="parametervalue">6250000</td>
       </tr>
       <tr>
        <td class="parametername">usb_mp_clk_mhz</td>
        <td class="parametervalue">6.25</td>
       </tr>
       <tr>
        <td class="parametername">spi_m_clk_hz</td>
        <td class="parametervalue">6250000</td>
       </tr>
       <tr>
        <td class="parametername">spi_m_clk_mhz</td>
        <td class="parametervalue">6.25</td>
       </tr>
       <tr>
        <td class="parametername">can0_clk_hz</td>
        <td class="parametervalue">6250000</td>
       </tr>
       <tr>
        <td class="parametername">can0_clk_mhz</td>
        <td class="parametervalue">6.25</td>
       </tr>
       <tr>
        <td class="parametername">can1_clk_hz</td>
        <td class="parametervalue">6250000</td>
       </tr>
       <tr>
        <td class="parametername">can1_clk_mhz</td>
        <td class="parametervalue">6.25</td>
       </tr>
       <tr>
        <td class="parametername">gpio_db_clk_hz</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">l4_mp_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">l4_sp_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">l4_mp_clk_div_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">l4_sp_clk_div_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">sdmmc_clk_hz</td>
        <td class="parametervalue">1953125</td>
       </tr>
       <tr>
        <td class="parametername">sdmmc_clk_mhz</td>
        <td class="parametervalue">1.953125</td>
       </tr>
       <tr>
        <td class="parametername">nand_x_clk_hz</td>
        <td class="parametervalue">1953125</td>
       </tr>
       <tr>
        <td class="parametername">nand_x_clk_mhz</td>
        <td class="parametervalue">1.953125</td>
       </tr>
       <tr>
        <td class="parametername">nand_clk_hz</td>
        <td class="parametervalue">488281</td>
       </tr>
       <tr>
        <td class="parametername">nand_clk_mhz</td>
        <td class="parametervalue">0.488281</td>
       </tr>
       <tr>
        <td class="parametername">qspi_clk_hz</td>
        <td class="parametervalue">3613281</td>
       </tr>
       <tr>
        <td class="parametername">qspi_clk_mhz</td>
        <td class="parametervalue">3.613281</td>
       </tr>
       <tr>
        <td class="parametername">l4_mp_clk_hz</td>
        <td class="parametervalue">100000000</td>
       </tr>
       <tr>
        <td class="parametername">l4_mp_clk_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">l4_sp_clk_hz</td>
        <td class="parametervalue">100000000</td>
       </tr>
       <tr>
        <td class="parametername">l4_sp_clk_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">MPU_EVENTS_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">GP_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DEBUGAPB_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">STM_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTI_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TPIUFPGA_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TPIUFPGA_alt</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">BOOTFROMFPGA_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TEST_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HLGPI_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">BSEL_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">BSEL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CSEL_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CSEL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">F2S_Width</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">S2F_Width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">LWH2F_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">F2SDRAM_Name_DERIVED</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">F2SDRAM_Type</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">F2SDRAM_Width</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">F2SDRAM_Width_Last_Size</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">F2SDRAM_CMD_PORT_USED</td>
        <td class="parametervalue">0x0</td>
       </tr>
       <tr>
        <td class="parametername">F2SDRAM_WR_PORT_USED</td>
        <td class="parametervalue">0x0</td>
       </tr>
       <tr>
        <td class="parametername">F2SDRAM_RD_PORT_USED</td>
        <td class="parametervalue">0x0</td>
       </tr>
       <tr>
        <td class="parametername">F2SDRAM_RST_PORT_USED</td>
        <td class="parametervalue">0x0</td>
       </tr>
       <tr>
        <td class="parametername">BONDING_OUT_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_COLDRST_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_PENDINGRST_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_DBGRST_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_WARMRST_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_COLDRST_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DMA_PeriphId_DERIVED</td>
        <td class="parametervalue">0,1,2,3,4,5,6,7</td>
       </tr>
       <tr>
        <td class="parametername">DMA_Enable</td>
        <td class="parametervalue">No,No,No,No,No,No,No,No</td>
       </tr>
       <tr>
        <td class="parametername">F2SINTERRUPT_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_CAN_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_CLOCKPERIPHERAL_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_CTI_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_DMA_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_EMAC_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_FPGAMANAGER_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_GPIO_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_I2CEMAC_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_I2CPERIPHERAL_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_L4TIMER_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_NAND_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_OSCTIMER_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_QSPI_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_SDMMC_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_SPIMASTER_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_SPISLAVE_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_UART_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_USB_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FINTERRUPT_WATCHDOG_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">EMAC0_PTP</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">EMAC1_PTP</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">EMAC0_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">EMAC0_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">EMAC1_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">EMAC1_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">NAND_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">NAND_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">QSPI_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">QSPI_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">SDIO_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">SDIO_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">USB0_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">USB0_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">USB1_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">USB1_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">SPIM0_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">SPIM0_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">SPIM1_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">SPIM1_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">SPIS0_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">SPIS0_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">SPIS1_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">SPIS1_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">UART0_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">UART0_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">UART1_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">UART1_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">I2C0_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">I2C0_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">I2C1_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">I2C1_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">I2C2_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">I2C2_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">I2C3_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">I2C3_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">CAN0_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">CAN0_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">CAN1_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">CAN1_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">TRACE_PinMuxing</td>
        <td class="parametervalue">Unused</td>
       </tr>
       <tr>
        <td class="parametername">TRACE_Mode</td>
        <td class="parametervalue">N/A</td>
       </tr>
       <tr>
        <td class="parametername">Customer_Pin_Name_DERIVED</td>
        <td class="parametervalue">RGMII0_TX_CLK,RGMII0_TXD0,RGMII0_TXD1,RGMII0_TXD2,RGMII0_TXD3,RGMII0_RXD0,RGMII0_MDIO,RGMII0_MDC ,RGMII0_RX_CTL,RGMII0_TX_CTL,RGMII0_RX_CLK,RGMII0_RXD1,RGMII0_RXD2,RGMII0_RXD3,NAND_ALE,NAND_CE,NAND_CLE,NAND_RE,NAND_RB,NAND_DQ0,NAND_DQ1,NAND_DQ2,NAND_DQ3,NAND_DQ4,NAND_DQ5,NAND_DQ6,NAND_DQ7,NAND_WP,NAND_WE,QSPI_IO0,QSPI_IO1,QSPI_IO2,QSPI_IO3,QSPI_SS0,QSPI_CLK,QSPI_SS1,SDMMC_CMD,SDMMC_PWREN,SDMMC_D0,SDMMC_D1,SDMMC_D4,SDMMC_D5,SDMMC_D6,SDMMC_D7,HPS_GPIO44,SDMMC_CCLK_OUT,SDMMC_D2,SDMMC_D3,TRACE_CLK,TRACE_D0,TRACE_D1,TRACE_D2,TRACE_D3,TRACE_D4,TRACE_D5,TRACE_D6,TRACE_D7,SPIM0_CLK,SPIM0_MOSI,SPIM0_MISO,SPIM0_SS0,UART0_RX,UART0_TX,I2C0_SDA,I2C0_SCL,CAN0_RX,CAN0_TX</td>
       </tr>
       <tr>
        <td class="parametername">GPIO_Conflict_DERIVED</td>
        <td class="parametervalue">,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,</td>
       </tr>
       <tr>
        <td class="parametername">GPIO_Name_DERIVED</td>
        <td class="parametervalue">GPIO00,GPIO01,GPIO02,GPIO03,GPIO04,GPIO05,GPIO06,GPIO07,GPIO08,GPIO09,GPIO10,GPIO11,GPIO12,GPIO13,GPIO14,GPIO15,GPIO16,GPIO17,GPIO18,GPIO19,GPIO20,GPIO21,GPIO22,GPIO23,GPIO24,GPIO25,GPIO26,GPIO27,GPIO28,GPIO29,GPIO30,GPIO31,GPIO32,GPIO33,GPIO34,GPIO35,GPIO36,GPIO37,GPIO38,GPIO39,GPIO40,GPIO41,GPIO42,GPIO43,GPIO44,GPIO45,GPIO46,GPIO47,GPIO48,GPIO49,GPIO50,GPIO51,GPIO52,GPIO53,GPIO54,GPIO55,GPIO56,GPIO57,GPIO58,GPIO59,GPIO60,GPIO61,GPIO62,GPIO63,GPIO64,GPIO65,GPIO66</td>
       </tr>
       <tr>
        <td class="parametername">GPIO_Enable</td>
        <td class="parametervalue">No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No</td>
       </tr>
       <tr>
        <td class="parametername">LOANIO_Name_DERIVED</td>
        <td class="parametervalue">LOANIO00,LOANIO01,LOANIO02,LOANIO03,LOANIO04,LOANIO05,LOANIO06,LOANIO07,LOANIO08,LOANIO09,LOANIO10,LOANIO11,LOANIO12,LOANIO13,LOANIO14,LOANIO15,LOANIO16,LOANIO17,LOANIO18,LOANIO19,LOANIO20,LOANIO21,LOANIO22,LOANIO23,LOANIO24,LOANIO25,LOANIO26,LOANIO27,LOANIO28,LOANIO29,LOANIO30,LOANIO31,LOANIO32,LOANIO33,LOANIO34,LOANIO35,LOANIO36,LOANIO37,LOANIO38,LOANIO39,LOANIO40,LOANIO41,LOANIO42,LOANIO43,LOANIO44,LOANIO45,LOANIO46,LOANIO47,LOANIO48,LOANIO49,LOANIO50,LOANIO51,LOANIO52,LOANIO53,LOANIO54,LOANIO55,LOANIO56,LOANIO57,LOANIO58,LOANIO59,LOANIO60,LOANIO61,LOANIO62,LOANIO63,LOANIO64,LOANIO65,LOANIO66</td>
       </tr>
       <tr>
        <td class="parametername">GPIO_Pin_Used_DERIVED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">LOANIO_Enable</td>
        <td class="parametervalue">No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_CONFLICT_PIN</td>
        <td class="parametervalue">No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_GUI_PIN_LIST</td>
        <td class="parametervalue">EMACIO0,EMACIO1,EMACIO2,EMACIO3,EMACIO4,EMACIO5,EMACIO6,EMACIO7,EMACIO8,EMACIO9,EMACIO10,EMACIO11,EMACIO12,EMACIO13,MIXED1IO0,MIXED1IO1,MIXED1IO2,MIXED1IO3,MIXED1IO4,MIXED1IO5,MIXED1IO6,MIXED1IO7,MIXED1IO8,MIXED1IO9,MIXED1IO10,MIXED1IO11,MIXED1IO12,MIXED1IO13,MIXED1IO14,MIXED1IO15,MIXED1IO16,MIXED1IO17,MIXED1IO18,MIXED1IO19,MIXED1IO20,MIXED1IO21,FLASHIO0,FLASHIO1,FLASHIO2,FLASHIO3,FLASHIO4,FLASHIO5,FLASHIO6,FLASHIO7,FLASHIO8,FLASHIO9,FLASHIO10,FLASHIO11,GENERALIO0,GENERALIO1,GENERALIO2,GENERALIO3,GENERALIO4,GENERALIO5,GENERALIO6,GENERALIO7,GENERALIO8,GENERALIO9,GENERALIO10,GENERALIO11,GENERALIO12,GENERALIO13,GENERALIO14,GENERALIO15,GENERALIO16,GENERALIO17,GENERALIO18</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_EMAC0_DATA</td>
        <td class="parametervalue">EMAC0 {signals_by_mode {{RGMII with I2C2} {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3} RGMII {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3 MDIO MDC}} pin_sets {{HPS I/O Set 0} {linked_peripheral_pin_set {HPS I/O Set 0} mux_selects {3 3 3 3 3 3 3 3 3 3 3 3 3 3} pins {EMACIO0 EMACIO1 EMACIO2 EMACIO3 EMACIO4 EMACIO5 EMACIO6 EMACIO7 EMACIO8 EMACIO9 EMACIO10 EMACIO11 EMACIO12 EMACIO13} signals {TX_CLK TXD0 TXD1 TXD2 TXD3 RXD0 MDIO MDC RX_CTL TX_CTL RX_CLK RXD1 RXD2 RXD3} valid_modes {RGMII {RGMII with I2C2}} locations {PIN_P28A0T PIN_P28B0T PIN_P28A1T PIN_P28B1T PIN_P29A0T PIN_P29B0T PIN_P29A1T PIN_P29B1T PIN_P30A0T PIN_P30B0T PIN_P30A1T PIN_P30B1T PIN_P31A0T PIN_P31B0T} linked_peripheral I2C2 linked_peripheral_mode {Used by EMAC0} signal_parts {{{} EMAC_CLK_TX(0:0) {}} {{} EMAC_PHY_TXD(0:0) {}} {{} EMAC_PHY_TXD(1:1) {}} {{} EMAC_PHY_TXD(2:2) {}} {{} EMAC_PHY_TXD(3:3) {}} {EMAC_PHY_RXD(0:0) {} {}} {EMAC_GMII_MDO_I(0:0) EMAC_GMII_MDO_O(0:0) EMAC_GMII_MDO_OE(0:0)} {{} EMAC_GMII_MDC(0:0) {}} {EMAC_PHY_RXDV(0:0) {} {}} {{} EMAC_PHY_TX_OE(0:0) {}} {EMAC_CLK_RX(0:0) {} {}} {EMAC_PHY_RXD(1:1) {} {}} {EMAC_PHY_RXD(2:2) {} {}} {EMAC_PHY_RXD(3:3) {} {}}}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_EMAC1_DATA</td>
        <td class="parametervalue">EMAC1 {signals_by_mode {{RGMII with I2C3} {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3} RGMII {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3 MDIO MDC}} pin_sets {{HPS I/O Set 0} {linked_peripheral_pin_set {HPS I/O Set 0} mux_selects {2 2 2 2 2 2 2 2 2 2 2 2 2 2} pins {MIXED1IO0 MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO5 MIXED1IO6 MIXED1IO7 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO12 MIXED1IO13} signals {TX_CLK TXD0 TXD1 TXD2 TXD3 RXD0 MDIO MDC RX_CTL TX_CTL RX_CLK RXD1 RXD2 RXD3} valid_modes {RGMII {RGMII with I2C3}} locations {PIN_P19A0T PIN_P19B0T PIN_P19A1T PIN_P19B1T PIN_P20A0T PIN_P20B0T PIN_P20A1T PIN_P20B1T PIN_P21A0T PIN_P21B0T PIN_P21A1T PIN_P21B1T PIN_P22A0T PIN_P22B0T} linked_peripheral I2C3 linked_peripheral_mode {Used by EMAC1} signal_parts {{{} EMAC_CLK_TX(0:0) {}} {{} EMAC_PHY_TXD(0:0) {}} {{} EMAC_PHY_TXD(1:1) {}} {{} EMAC_PHY_TXD(2:2) {}} {{} EMAC_PHY_TXD(3:3) {}} {EMAC_PHY_RXD(0:0) {} {}} {EMAC_GMII_MDO_I(0:0) EMAC_GMII_MDO_O(0:0) EMAC_GMII_MDO_OE(0:0)} {{} EMAC_GMII_MDC(0:0) {}} {EMAC_PHY_RXDV(0:0) {} {}} {{} EMAC_PHY_TX_OE(0:0) {}} {EMAC_CLK_RX(0:0) {} {}} {EMAC_PHY_RXD(1:1) {} {}} {EMAC_PHY_RXD(2:2) {} {}} {EMAC_PHY_RXD(3:3) {} {}}}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_NAND_DATA</td>
        <td class="parametervalue">NAND {signals_by_mode {{ONFI 1.0} {ALE CE CLE RE RB DQ0 DQ1 DQ2 DQ3 DQ4 DQ5 DQ6 DQ7 WP WE}} pin_sets {{HPS I/O Set 0} {locations {PIN_P19A0T PIN_P19B0T PIN_P19A1T PIN_P19B1T PIN_P20A0T PIN_P20B0T PIN_P20A1T PIN_P20B1T PIN_P21A0T PIN_P21B0T PIN_P21A1T PIN_P21B1T PIN_P22A0T PIN_P22B0T PIN_P22A1T} signals {ALE CE CLE RE RB DQ0 DQ1 DQ2 DQ3 DQ4 DQ5 DQ6 DQ7 WP WE} signal_parts {{{} NAND_ALE(0:0) {}} {{} NAND_CE_N(0:0) {}} {{} NAND_CLE(0:0) {}} {{} NAND_RE_N(0:0) {}} {NAND_RDY_BUSYN(0:0) {} {}} {NAND_ADQ_I(0:0) NAND_ADQ_O(0:0) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(1:1) NAND_ADQ_O(1:1) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(2:2) NAND_ADQ_O(2:2) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(3:3) NAND_ADQ_O(3:3) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(4:4) NAND_ADQ_O(4:4) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(5:5) NAND_ADQ_O(5:5) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(6:6) NAND_ADQ_O(6:6) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(7:7) NAND_ADQ_O(7:7) NAND_ADQ_OE(0:0)} {{} NAND_WP_N(0:0) {}} {{} NAND_WE_N(0:0) {}}} mux_selects {3 3 3 3 3 3 3 3 3 3 3 3 3 3 3} valid_modes {{ONFI 1.0}} pins {MIXED1IO0 MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO5 MIXED1IO6 MIXED1IO7 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO12 MIXED1IO13 MIXED1IO14}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_QSPI_DATA</td>
        <td class="parametervalue">QSPI {signals_by_mode {{2 SS} {CLK IO0 IO1 IO2 IO3 SS0 SS1} {1 SS} {CLK IO0 IO1 IO2 IO3 SS0} {4 SS} {CLK IO0 IO1 IO2 IO3 SS0 SS1 SS2 SS3}} pin_sets {{HPS I/O Set 1} {locations {PIN_P24B0T PIN_P19A0T PIN_P22B0T PIN_P22B1T PIN_P23A0T PIN_P23B0T PIN_P23A1T PIN_P23B1T PIN_P24A0T} signals {SS1 SS3 SS2 IO0 IO1 IO2 IO3 SS0 CLK} signal_parts {{{} QSPI_SS_N(1:1) {}} {{} QSPI_SS_N(3:3) {}} {{} QSPI_SS_N(2:2) {}} {QSPI_MI0(0:0) QSPI_MO0(0:0) QSPI_MO_EN_N(0:0)} {QSPI_MI1(0:0) QSPI_MO1(0:0) QSPI_MO_EN_N(1:1)} {QSPI_MI2(0:0) QSPI_MO2(0:0) QSPI_MO_EN_N(2:2)} {QSPI_MI3(0:0) QSPI_MO3(0:0) QSPI_MO_EN_N(3:3)} {{} QSPI_SS_N(0:0) {}} {{} QSPI_SCLK(0:0) {}}} mux_selects {3 1 1 3 3 3 3 3 3} valid_modes {{2 SS} {1 SS} {4 SS}} pins {MIXED1IO21 MIXED1IO0 MIXED1IO13 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18 MIXED1IO19 MIXED1IO20}} {HPS I/O Set 0} {locations {PIN_P19A0T PIN_P22B0T PIN_P22A1T PIN_P22B1T PIN_P23A0T PIN_P23B0T PIN_P23A1T PIN_P23B1T PIN_P24A0T} signals {SS3 SS2 SS1 IO0 IO1 IO2 IO3 SS0 CLK} signal_parts {{{} QSPI_SS_N(3:3) {}} {{} QSPI_SS_N(2:2) {}} {{} QSPI_SS_N(1:1) {}} {QSPI_MI0(0:0) QSPI_MO0(0:0) QSPI_MO_EN_N(0:0)} {QSPI_MI1(0:0) QSPI_MO1(0:0) QSPI_MO_EN_N(1:1)} {QSPI_MI2(0:0) QSPI_MO2(0:0) QSPI_MO_EN_N(2:2)} {QSPI_MI3(0:0) QSPI_MO3(0:0) QSPI_MO_EN_N(3:3)} {{} QSPI_SS_N(0:0) {}} {{} QSPI_SCLK(0:0) {}}} mux_selects {1 1 2 3 3 3 3 3 3} valid_modes {{2 SS} {1 SS} {4 SS}} pins {MIXED1IO0 MIXED1IO13 MIXED1IO14 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18 MIXED1IO19 MIXED1IO20}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_SDIO_DATA</td>
        <td class="parametervalue">SDIO {signals_by_mode {{1-bit Data} {CMD CLK D0} {4-bit Data} {CMD CLK D0 D1 D2 D3} {8-bit Data with PWREN} {CMD CLK D0 D1 D2 D3 D4 D5 D6 D7 PWREN} {8-bit Data} {CMD CLK D0 D1 D2 D3 D4 D5 D6 D7} {1-bit Data with PWREN} {CMD CLK D0 PWREN} {4-bit Data with PWREN} {CMD CLK D0 D1 D2 D3 PWREN}} pin_sets {{HPS I/O Set 0} {locations {PIN_P25A0T PIN_P25B0T PIN_P25A1T PIN_P25B1T PIN_P26A0T PIN_P26B0T PIN_P26A1T PIN_P26B1T PIN_P27A0T PIN_P27B0T PIN_P27A1T PIN_P27B1T} signals {CMD PWREN D0 D1 D4 D5 D6 D7 HPS_GPIO44 CLK D2 D3} signal_parts {{SDMMC_CMD_I(0:0) SDMMC_CMD_O(0:0) SDMMC_CMD_OE(0:0)} {{} SDMMC_PWR_EN(0:0) {}} {SDMMC_DATA_I(0:0) SDMMC_DATA_O(0:0) SDMMC_DATA_OE(0:0)} {SDMMC_DATA_I(1:1) SDMMC_DATA_O(1:1) SDMMC_DATA_OE(1:1)} {SDMMC_DATA_I(4:4) SDMMC_DATA_O(4:4) SDMMC_DATA_OE(4:4)} {SDMMC_DATA_I(5:5) SDMMC_DATA_O(5:5) SDMMC_DATA_OE(5:5)} {SDMMC_DATA_I(6:6) SDMMC_DATA_O(6:6) SDMMC_DATA_OE(6:6)} {SDMMC_DATA_I(7:7) SDMMC_DATA_O(7:7) SDMMC_DATA_OE(7:7)} HPS_GPIO44 {{} SDMMC_CCLK(0:0) {}} {SDMMC_DATA_I(2:2) SDMMC_DATA_O(2:2) SDMMC_DATA_OE(2:2)} {SDMMC_DATA_I(3:3) SDMMC_DATA_O(3:3) SDMMC_DATA_OE(3:3)}} mux_selects {3 3 3 3 3 3 3 3 3 3 3 3} valid_modes {{1-bit Data} {4-bit Data} {8-bit Data with PWREN} {8-bit Data} {1-bit Data with PWREN} {4-bit Data with PWREN}} pins {FLASHIO0 FLASHIO1 FLASHIO2 FLASHIO3 FLASHIO4 FLASHIO5 FLASHIO6 FLASHIO7 FLASHIO8 FLASHIO9 FLASHIO10 FLASHIO11}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_USB0_DATA</td>
        <td class="parametervalue">USB0 {signals_by_mode {SDR {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} {SDR without external clock} {D0 D1 D2 D3 D4 D5 D6 D7 STP DIR NXT}} pin_sets {{HPS I/O Set 0} {locations {PIN_P25A0T PIN_P25B0T PIN_P25A1T PIN_P25B1T PIN_P26A0T PIN_P26B0T PIN_P26A1T PIN_P26B1T PIN_P27A0T PIN_P27B0T PIN_P27A1T PIN_P27B1T} signals {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} signal_parts {{USB_ULPI_DATA_I(0:0) USB_ULPI_DATA_O(0:0) USB_ULPI_DATA_OE(0:0)} {USB_ULPI_DATA_I(1:1) USB_ULPI_DATA_O(1:1) USB_ULPI_DATA_OE(1:1)} {USB_ULPI_DATA_I(2:2) USB_ULPI_DATA_O(2:2) USB_ULPI_DATA_OE(2:2)} {USB_ULPI_DATA_I(3:3) USB_ULPI_DATA_O(3:3) USB_ULPI_DATA_OE(3:3)} {USB_ULPI_DATA_I(4:4) USB_ULPI_DATA_O(4:4) USB_ULPI_DATA_OE(4:4)} {USB_ULPI_DATA_I(5:5) USB_ULPI_DATA_O(5:5) USB_ULPI_DATA_OE(5:5)} {USB_ULPI_DATA_I(6:6) USB_ULPI_DATA_O(6:6) USB_ULPI_DATA_OE(6:6)} {USB_ULPI_DATA_I(7:7) USB_ULPI_DATA_O(7:7) USB_ULPI_DATA_OE(7:7)} {USB_ULPI_CLK(0:0) {} {}} {{} USB_ULPI_STP(0:0) {}} {USB_ULPI_DIR(0:0) {} {}} {USB_ULPI_NXT(0:0) {} {}}} mux_selects {2 2 2 2 2 2 2 2 2 2 2 2} valid_modes {SDR {SDR without external clock}} pins {FLASHIO0 FLASHIO1 FLASHIO2 FLASHIO3 FLASHIO4 FLASHIO5 FLASHIO6 FLASHIO7 FLASHIO8 FLASHIO9 FLASHIO10 FLASHIO11}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_USB1_DATA</td>
        <td class="parametervalue">USB1 {signals_by_mode {SDR {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} {SDR without external clock} {D0 D1 D2 D3 D4 D5 D6 D7 STP DIR NXT}} pin_sets {{HPS I/O Set 1} {locations {PIN_P19B0T PIN_P19A1T PIN_P19B1T PIN_P20A0T PIN_P21A0T PIN_P21B0T PIN_P21A1T PIN_P21B1T PIN_P22B1T PIN_P23A0T PIN_P23B0T PIN_P23A1T} signals {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} signal_parts {{USB_ULPI_DATA_I(0:0) USB_ULPI_DATA_O(0:0) USB_ULPI_DATA_OE(0:0)} {USB_ULPI_DATA_I(1:1) USB_ULPI_DATA_O(1:1) USB_ULPI_DATA_OE(1:1)} {USB_ULPI_DATA_I(2:2) USB_ULPI_DATA_O(2:2) USB_ULPI_DATA_OE(2:2)} {USB_ULPI_DATA_I(3:3) USB_ULPI_DATA_O(3:3) USB_ULPI_DATA_OE(3:3)} {USB_ULPI_DATA_I(4:4) USB_ULPI_DATA_O(4:4) USB_ULPI_DATA_OE(4:4)} {USB_ULPI_DATA_I(5:5) USB_ULPI_DATA_O(5:5) USB_ULPI_DATA_OE(5:5)} {USB_ULPI_DATA_I(6:6) USB_ULPI_DATA_O(6:6) USB_ULPI_DATA_OE(6:6)} {USB_ULPI_DATA_I(7:7) USB_ULPI_DATA_O(7:7) USB_ULPI_DATA_OE(7:7)} {USB_ULPI_CLK(0:0) {} {}} {{} USB_ULPI_STP(0:0) {}} {USB_ULPI_DIR(0:0) {} {}} {USB_ULPI_NXT(0:0) {} {}}} mux_selects {1 1 1 1 1 1 1 1 1 1 1 1} valid_modes {SDR {SDR without external clock}} pins {MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18}} {HPS I/O Set 0} {locations {PIN_P28B0T PIN_P28A1T PIN_P28B1T PIN_P29A0T PIN_P29B0T PIN_P29A1T PIN_P29B1T PIN_P30A0T PIN_P30A1T PIN_P30B1T PIN_P31A0T PIN_P31B0T} signals {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} signal_parts {{USB_ULPI_DATA_I(0:0) USB_ULPI_DATA_O(0:0) USB_ULPI_DATA_OE(0:0)} {USB_ULPI_DATA_I(1:1) USB_ULPI_DATA_O(1:1) USB_ULPI_DATA_OE(1:1)} {USB_ULPI_DATA_I(2:2) USB_ULPI_DATA_O(2:2) USB_ULPI_DATA_OE(2:2)} {USB_ULPI_DATA_I(3:3) USB_ULPI_DATA_O(3:3) USB_ULPI_DATA_OE(3:3)} {USB_ULPI_DATA_I(4:4) USB_ULPI_DATA_O(4:4) USB_ULPI_DATA_OE(4:4)} {USB_ULPI_DATA_I(5:5) USB_ULPI_DATA_O(5:5) USB_ULPI_DATA_OE(5:5)} {USB_ULPI_DATA_I(6:6) USB_ULPI_DATA_O(6:6) USB_ULPI_DATA_OE(6:6)} {USB_ULPI_DATA_I(7:7) USB_ULPI_DATA_O(7:7) USB_ULPI_DATA_OE(7:7)} {USB_ULPI_CLK(0:0) {} {}} {{} USB_ULPI_STP(0:0) {}} {USB_ULPI_DIR(0:0) {} {}} {USB_ULPI_NXT(0:0) {} {}}} mux_selects {2 2 2 2 2 2 2 2 2 2 2 2} valid_modes {SDR {SDR without external clock}} pins {EMACIO1 EMACIO2 EMACIO3 EMACIO4 EMACIO5 EMACIO6 EMACIO7 EMACIO8 EMACIO10 EMACIO11 EMACIO12 EMACIO13}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_SPIM0_DATA</td>
        <td class="parametervalue">SPIM0 {signals_by_mode {{Dual Slave Selects} {CLK MOSI MISO SS0 SS1} {Single Slave Select} {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P16B0T PIN_P16A1T PIN_P16B1T PIN_P17A0T PIN_P17B0T} signals {CLK MOSI MISO SS0 SS1} signal_parts {{{} SPI_MASTER_SCLK(0:0) {}} {{} SPI_MASTER_TXD(0:0) SPI_MASTER_SSI_OE_N(0:0)} {SPI_MASTER_RXD(0:0) {} {}} {{} SPI_MASTER_SS_0_N(0:0) {}} {{} SPI_MASTER_SS_1_N(0:0) {}}} mux_selects {3 3 3 3 1} valid_modes {{Dual Slave Selects} {Single Slave Select}} pins {GENERALIO9 GENERALIO10 GENERALIO11 GENERALIO12 GENERALIO13}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_SPIM1_DATA</td>
        <td class="parametervalue">SPIM1 {signals_by_mode {{Dual Slave Selects} {CLK MOSI MISO SS0 SS1} {Single Slave Select} {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P17A1T PIN_P17B1T PIN_P18A0T PIN_P18B0T PIN_P18A1T} signals {SS1 CLK MOSI MISO SS0} signal_parts {{{} SPI_MASTER_SS_1_N(0:0) {}} {{} SPI_MASTER_SCLK(0:0) {}} {{} SPI_MASTER_TXD(0:0) SPI_MASTER_SSI_OE_N(0:0)} {SPI_MASTER_RXD(0:0) {} {}} {{} SPI_MASTER_SS_0_N(0:0) {}}} mux_selects {1 1 1 1 1} valid_modes {{Dual Slave Selects} {Single Slave Select}} pins {GENERALIO14 GENERALIO15 GENERALIO16 GENERALIO17 GENERALIO18}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_SPIS0_DATA</td>
        <td class="parametervalue">SPIS0 {signals_by_mode {SPI {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P14B0T PIN_P14A1T PIN_P14B1T PIN_P15A0T} signals {CLK MOSI MISO SS0} signal_parts {{SPI_SLAVE_SCLK(0:0) {} {}} {SPI_SLAVE_RXD(0:0) {} {}} {{} SPI_SLAVE_TXD(0:0) SPI_SLAVE_SSI_OE_N(0:0)} {SPI_SLAVE_SS_N(0:0) {} {}}} mux_selects {2 2 2 2} valid_modes SPI pins {GENERALIO1 GENERALIO2 GENERALIO3 GENERALIO4}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_SPIS1_DATA</td>
        <td class="parametervalue">SPIS1 {signals_by_mode {SPI {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P15B0T PIN_P15A1T PIN_P15B1T PIN_P16A0T} signals {CLK MOSI SS0 MISO} signal_parts {{SPI_SLAVE_SCLK(0:0) {} {}} {SPI_SLAVE_RXD(0:0) {} {}} {SPI_SLAVE_SS_N(0:0) {} {}} {{} SPI_SLAVE_TXD(0:0) SPI_SLAVE_SSI_OE_N(0:0)}} mux_selects {2 2 2 2} valid_modes SPI pins {GENERALIO5 GENERALIO6 GENERALIO7 GENERALIO8}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_UART0_DATA</td>
        <td class="parametervalue">UART0 {signals_by_mode {{Flow Control} {RX TX CTS RTS} {No Flow Control} {RX TX}} pin_sets {{HPS I/O Set 2} {locations {PIN_P18B0T PIN_P18A1T PIN_P16B0T PIN_P16A1T} signals {RX TX CTS RTS} signal_parts {{UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}} {UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}}} mux_selects {2 2 1 1} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO17 GENERALIO18 GENERALIO9 GENERALIO10}} {HPS I/O Set 1} {locations {PIN_P17B0T PIN_P17A1T PIN_P16B0T PIN_P16A1T} signals {RX TX CTS RTS} signal_parts {{UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}} {UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}}} mux_selects {3 3 1 1} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO13 GENERALIO14 GENERALIO9 GENERALIO10}} {HPS I/O Set 0} {locations {PIN_P14B0T PIN_P14A1T PIN_P16B0T PIN_P16A1T} signals {RX TX CTS RTS} signal_parts {{UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}} {UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}}} mux_selects {1 1 1 1} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO1 GENERALIO2 GENERALIO9 GENERALIO10}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_UART1_DATA</td>
        <td class="parametervalue">UART1 {signals_by_mode {{Flow Control} {RX TX CTS RTS} {No Flow Control} {RX TX}} pin_sets {{HPS I/O Set 0} {locations {PIN_P16B1T PIN_P17A0T PIN_P17B1T PIN_P18A0T} signals {CTS RTS RX TX} signal_parts {{UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}} {UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}}} mux_selects {1 1 2 2} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO11 GENERALIO12 GENERALIO15 GENERALIO16}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_I2C0_DATA</td>
        <td class="parametervalue">I2C0 {signals_by_mode {I2C {SDA SCL}} pin_sets {{HPS I/O Set 1} {locations {PIN_P17B1T PIN_P18A0T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {3 3} valid_modes I2C pins {GENERALIO15 GENERALIO16}} {HPS I/O Set 0} {locations {PIN_P15B1T PIN_P16A0T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {1 1} valid_modes I2C pins {GENERALIO7 GENERALIO8}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_I2C1_DATA</td>
        <td class="parametervalue">I2C1 {signals_by_mode {I2C {SDA SCL}} pin_sets {{HPS I/O Set 1} {locations {PIN_P16B0T PIN_P16A1T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {2 2} valid_modes I2C pins {GENERALIO9 GENERALIO10}} {HPS I/O Set 0} {locations {PIN_P14B1T PIN_P15A0T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {1 1} valid_modes I2C pins {GENERALIO3 GENERALIO4}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_I2C2_DATA</td>
        <td class="parametervalue">I2C2 {signals_by_mode {I2C {SDA SCL} {Used by EMAC0} {SDA SCL}} pin_sets {{HPS I/O Set 0} {locations {PIN_P29A1T PIN_P29B1T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} valid_modes {I2C {Used by EMAC0}} mux_selects {1 1} pins {EMACIO6 EMACIO7}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_I2C3_DATA</td>
        <td class="parametervalue">I2C3 {signals_by_mode {I2C {SDA SCL} {Used by EMAC1} {SDA SCL}} pin_sets {{HPS I/O Set 0} {locations {PIN_P20A1T PIN_P20B1T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} valid_modes {I2C {Used by EMAC1}} mux_selects {1 1} pins {MIXED1IO6 MIXED1IO7}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_CAN0_DATA</td>
        <td class="parametervalue">CAN0 {signals_by_mode {CAN {RX TX}} pin_sets {{HPS I/O Set 1} {locations {PIN_P18B0T PIN_P18A1T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {3 3} valid_modes CAN pins {GENERALIO17 GENERALIO18}} {HPS I/O Set 0} {locations {PIN_P17B0T PIN_P17A1T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {2 2} valid_modes CAN pins {GENERALIO13 GENERALIO14}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_CAN1_DATA</td>
        <td class="parametervalue">CAN1 {signals_by_mode {CAN {RX TX}} pin_sets {{HPS I/O Set 1} {locations {PIN_P16B1T PIN_P17A0T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {2 2} valid_modes CAN pins {GENERALIO11 GENERALIO12}} {HPS I/O Set 0} {locations {PIN_P15B0T PIN_P15A1T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {1 1} valid_modes CAN pins {GENERALIO5 GENERALIO6}}}}</td>
       </tr>
       <tr>
        <td class="parametername">JAVA_TRACE_DATA</td>
        <td class="parametervalue">TRACE {signals_by_mode {HPSx4 {CLK D0 D1 D2 D3} HPS {CLK D0 D1 D2 D3 D4 D5 D6 D7}} pin_sets {{HPS I/O Set 0} {locations {PIN_P14A0T PIN_P14B0T PIN_P14A1T PIN_P14B1T PIN_P15A0T PIN_P15B0T PIN_P15A1T PIN_P15B1T PIN_P16A0T} signals {CLK D0 D1 D2 D3 D4 D5 D6 D7} signal_parts {{{} TPIU_TRACE_CLK(0:0) {}} {{} TPIU_TRACE_DATA(0:0) {}} {{} TPIU_TRACE_DATA(1:1) {}} {{} TPIU_TRACE_DATA(2:2) {}} {{} TPIU_TRACE_DATA(3:3) {}} {{} TPIU_TRACE_DATA(4:4) {}} {{} TPIU_TRACE_DATA(5:5) {}} {{} TPIU_TRACE_DATA(6:6) {}} {{} TPIU_TRACE_DATA(7:7) {}}} mux_selects {3 3 3 3 3 3 3 3 3} valid_modes {HPSx4 HPS} pins {GENERALIO0 GENERALIO1 GENERALIO2 GENERALIO3 GENERALIO4 GENERALIO5 GENERALIO6 GENERALIO7 GENERALIO8}}}}</td>
       </tr>
       <tr>
        <td class="parametername">F2H_AXI_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">H2F_AXI_CLOCK_FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">H2F_LW_AXI_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">F2H_SDRAM0_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">F2H_SDRAM1_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">F2H_SDRAM2_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">F2H_SDRAM3_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">F2H_SDRAM4_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">F2H_SDRAM5_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">H2F_CTI_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">H2F_TPIU_CLOCK_IN_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">H2F_DEBUG_APB_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC_PTP_REF_CLOCK</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC0_RX_CLK_IN</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC0_TX_CLK_IN</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_EMAC0_MD_CLK</td>
        <td class="parametervalue">2.5</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_EMAC0_GTX_CLK</td>
        <td class="parametervalue">125</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC1_RX_CLK_IN</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC1_TX_CLK_IN</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_EMAC1_MD_CLK</td>
        <td class="parametervalue">2.5</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_EMAC1_GTX_CLK</td>
        <td class="parametervalue">125</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_QSPI_SCLK_OUT</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_SDIO_CCLK</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_USB0_CLK_IN</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_USB1_CLK_IN</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_SPIM0_SCLK_OUT</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_SPIM1_SCLK_OUT</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_SPIS0_SCLK_IN</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_SPIS1_SCLK_IN</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_I2C0_SCL_IN</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_I2C0_CLK</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_I2C1_SCL_IN</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_I2C1_CLK</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_I2C2_SCL_IN</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_I2C2_CLK</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_I2C3_SCL_IN</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_I2C3_CLK</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">hps_device_family</td>
        <td class="parametervalue">Cyclone V</td>
       </tr>
       <tr>
        <td class="parametername">device_name</td>
        <td class="parametervalue">5CSEMA4U23C6</td>
       </tr>
       <tr>
        <td class="parametername">quartus_ini_hps_ip_enable_all_peripheral_fpga_interfaces</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">quartus_ini_hps_ip_enable_emac0_peripheral_fpga_interface</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">quartus_ini_hps_ip_enable_test_interface</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">quartus_ini_hps_ip_fast_f2sdram_sim_model</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">quartus_ini_hps_ip_suppress_sdram_synth</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">quartus_ini_hps_ip_enable_low_speed_serial_fpga_interfaces</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">quartus_ini_hps_ip_enable_bsel_csel</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">quartus_ini_hps_ip_f2sdram_bonding_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">quartus_ini_hps_emif_pll</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">test_iface_definition</td>
        <td class="parametervalue">DFX_OUT_FPGA_PR_REQUEST 1 output DFX_OUT_FPGA_DCLK 1 output DFX_OUT_FPGA_S2F_DATA 32 output DFX_SCAN_DOUT 1 output DFX_OUT_FPGA_SDRAM_OBSERVE 5 output DFX_OUT_FPGA_DATA 18 output DFX_OUT_FPGA_OSC1_CLK 1 output DFX_OUT_FPGA_T2_DATAOUT 1 output DFX_IN_FPGA_T2_CLK 1 input DFX_IN_FPGA_T2_DATAIN 1 input DFX_IN_FPGA_T2_SCAN_EN_N 1 input DFX_SCAN_CLK 1 input DFX_SCAN_DIN 1 input DFX_SCAN_EN 1 input DFX_SCAN_LOAD 1 input CFG_DFX_BYPASS_ENABLE 1 input F2S_CTRL 1 input F2S_JTAG_ENABLE_CORE 1 input DFT_IN_FPGA_SCAN_EN 1 input DFT_IN_FPGA_ATPG_EN 1 input DFT_IN_FPGA_PLLBYPASS 1 input DFT_IN_FPGA_PLLBYPASS_SEL 1 input DFT_IN_FPGA_OSC1TESTEN 1 input DFT_IN_FPGA_MPUPERITESTEN 1 input DFT_IN_FPGA_MPUL2RAMTESTEN 1 input DFT_IN_FPGA_MPUTESTEN 1 input DFT_IN_FPGA_MPU_SCAN_MODE 1 input DFT_IN_FPGA_DBGATTESTEN 1 input DFT_IN_FPGA_DBGTESTEN 1 input DFT_IN_FPGA_DBGTRTESTEN 1 input DFT_IN_FPGA_DBGTMTESTEN 1 input DFT_IN_FPGA_L4MAINTESTEN 1 input DFT_IN_FPGA_L3MAINTESTEN 1 input DFT_IN_FPGA_L3MPTESTEN 1 input DFT_IN_FPGA_L3SPTESTEN 1 input DFT_IN_FPGA_CFGTESTEN 1 input DFT_IN_FPGA_L4MPTESTEN 1 input DFT_IN_FPGA_L4SPTESTEN 1 input DFT_IN_FPGA_USBMPTESTEN 1 input DFT_IN_FPGA_SPIMTESTEN 1 input DFT_IN_FPGA_DDRDQSTESTEN 1 input DFT_IN_FPGA_DDR2XDQSTESTEN 1 input DFT_IN_FPGA_DDRDQTESTEN 1 input DFT_IN_FPGA_EMAC0TESTEN 1 input DFT_IN_FPGA_EMAC1TESTEN 1 input DFT_IN_FPGA_CAN0TESTEN 1 input DFT_IN_FPGA_CAN1TESTEN 1 input DFT_IN_FPGA_GPIODBTESTEN 1 input DFT_IN_FPGA_SDMMCTESTEN 1 input DFT_IN_FPGA_NANDTESTEN 1 input DFT_IN_FPGA_NANDXTESTEN 1 input DFT_IN_FPGA_QSPITESTEN 1 input DFT_IN_FPGA_TEST_CLK 1 input DFT_IN_FPGA_TEST_CLKOFF 1 input DFT_IN_FPGA_TEST_CKEN 1 input DFT_IN_FPGA_PIPELINE_SE_ENABLE 1 input DFT_IN_HPS_TESTMODE_N 1 input DFT_IN_FPGA_BIST_SE 1 input DFT_IN_FPGA_BISTEN 1 input DFT_IN_FPGA_BIST_NRST 1 input DFT_IN_FPGA_BIST_PERI_SI_0 1 input DFT_IN_FPGA_BIST_PERI_SI_1 1 input DFT_IN_FPGA_BIST_PERI_SI_2 1 input DFT_IN_FPGA_BIST_CPU_SI 1 input DFT_IN_FPGA_BIST_L2_SI 1 input DFT_IN_FPGA_MEM_SE 1 input DFT_IN_FPGA_MEM_PERI_SI_0 1 input DFT_IN_FPGA_MEM_PERI_SI_1 1 input DFT_IN_FPGA_MEM_PERI_SI_2 1 input DFT_IN_FPGA_MEM_CPU_SI 1 input DFT_IN_FPGA_MEM_L2_SI 1 input DFT_IN_FPGA_MTESTEN 1 input DFT_IN_FPGA_ECCBYP 1 input DFT_IN_FPGA_VIOSCANIN 1 input DFT_IN_FPGA_VIOSCANEN 1 input DFT_IN_FPGA_OCTSCANIN 1 input DFT_IN_FPGA_OCTSCANEN 1 input DFT_IN_FPGA_OCTSCANCLK 1 input DFT_IN_FPGA_OCTENSERUSER 1 input DFT_IN_FPGA_OCTCLKENUSR 1 input DFT_IN_FPGA_OCTS2PLOAD 1 input DFT_IN_FPGA_OCTNCLRUSR 1 input DFT_IN_FPGA_OCTCLKUSR 1 input DFT_IN_FPGA_OCTSERDATA 1 input DFT_IN_FPGA_HIOSCANIN 2 input DFT_IN_FPGA_HIOSCANEN 1 input DFT_IN_FPGA_HIOSCLR 1 input DFT_IN_FPGA_HIOCLKIN0 1 input DFT_IN_FPGA_DQSUPDTEN 5 input DFT_IN_FPGA_PSTDQSENA 1 input DFT_IN_FPGA_IPSCIN 1 input DFT_IN_FPGA_IPSCUPDATE 1 input DFT_IN_FPGA_IPSCCLK 1 input DFT_IN_FPGA_IPSCENABLE 12 input DFT_IN_FPGA_DLLNRST 1 input DFT_IN_FPGA_DLLUPDWNEN 1 input DFT_IN_FPGA_DLLUPNDN 1 input DFT_IN_FPGA_FMBHNIOTRI 1 input DFT_IN_FPGA_FMNIOTRI 1 input DFT_IN_FPGA_FMPLNIOTRI 1 input DFT_IN_FPGA_FMCSREN 1 input DFT_IN_FPGA_PLL_CLKR 6 input DFT_IN_FPGA_PLL_CLKF 13 input DFT_IN_FPGA_PLL_CLKOD 9 input DFT_IN_FPGA_PLL_BWADJ 12 input DFT_IN_FPGA_PLL1_RESET 1 input DFT_IN_FPGA_PLL1_PWRDN 1 input DFT_IN_FPGA_PLL1_TEST 1 input DFT_IN_FPGA_PLL1_OUTRESET 1 input DFT_IN_FPGA_PLL1_OUTRESETALL 1 input DFT_IN_FPGA_PLL_FASTEN 1 input DFT_IN_FPGA_PLL_ENSAT 1 input DFT_IN_FPGA_PLL_ADVANCE 1 input DFT_IN_FPGA_PLL_STEP 1 input DFT_IN_FPGA_PLL2_RESET 1 input DFT_IN_FPGA_PLL2_PWRDN 1 input DFT_IN_FPGA_PLL2_TEST 1 input DFT_IN_FPGA_PLL2_OUTRESET 1 input DFT_IN_FPGA_PLL2_OUTRESETALL 1 input DFT_IN_FPGA_PLL3_RESET 1 input DFT_IN_FPGA_PLL3_PWRDN 1 input DFT_IN_FPGA_PLL3_TEST 1 input DFT_IN_FPGA_PLL3_OUTRESET 1 input DFT_IN_FPGA_PLL3_OUTRESETALL 1 input DFT_IN_FPGA_PLL1_CLK_SELECT 1 input DFT_IN_FPGA_PLL2_CLK_SELECT 1 input DFT_IN_FPGA_PLL3_CLK_SELECT 1 input DFT_IN_FPGA_PLL_TESTBUS_SEL 5 input DFT_IN_FPGA_PLL1_BG_RESET 1 input DFT_IN_FPGA_PLL1_BG_PWRDN 1 input DFT_IN_FPGA_PLL1_REG_RESET 1 input DFT_IN_FPGA_PLL1_REG_PWRDN 1 input DFT_IN_FPGA_PLL2_BG_RESET 1 input DFT_IN_FPGA_PLL2_BG_PWRDN 1 input DFT_IN_FPGA_PLL2_REG_RESET 1 input DFT_IN_FPGA_PLL2_REG_PWRDN 1 input DFT_IN_FPGA_PLL3_BG_RESET 1 input DFT_IN_FPGA_PLL3_BG_PWRDN 1 input DFT_IN_FPGA_PLL3_REG_RESET 1 input DFT_IN_FPGA_PLL3_REG_PWRDN 1 input DFT_IN_FPGA_PLL_REG_EXT_SEL 1 input DFT_IN_FPGA_PLL1_REG_TEST_SEL 1 input DFT_IN_FPGA_PLL2_REG_TEST_SEL 1 input DFT_IN_FPGA_PLL3_REG_TEST_SEL 1 input DFT_IN_FPGA_PLL_REG_TEST_REP 1 input DFT_IN_FPGA_PLL_REG_TEST_OUT 1 input DFT_IN_FPGA_PLL_REG_TEST_DRV 1 input DFT_IN_FPGA_PLLTEST_INPUT_EN 1 input DFT_IN_FPGA_VIOSCANCLK_TESTEN 1 input DFT_IN_FPGA_HIOSCANCLK_TESTEN 1 input DFT_IN_FPGA_CTICLK_TESTEN 1 input DFT_IN_FPGA_TPIUTRACECLKIN_TESTEN 1 input DFT_IN_FPGA_AVSTWRCLK_TESTEN 4 input DFT_IN_FPGA_AVSTRDCLK_TESTEN 4 input DFT_IN_FPGA_AVSTCMDPORTCLK_TESTEN 6 input DFT_IN_FPGA_F2SAXICLK_TESTEN 1 input DFT_IN_FPGA_S2FAXICLK_TESTEN 1 input DFT_IN_FPGA_USBULPICLK_TESTEN 2 input DFT_IN_FPGA_F2SPCLKDBG_TESTEN 1 input DFT_IN_FPGA_LWH2FAXICLK_TESTEN 1 input DFT_IN_FPGA_SCANIN 390 input DFT_OUT_FPGA_BIST_PERI_SO_0 1 output DFT_OUT_FPGA_BIST_PERI_SO_1 1 output DFT_OUT_FPGA_BIST_PERI_SO_2 1 output DFT_OUT_FPGA_BIST_CPU_SO 1 output DFT_OUT_FPGA_BIST_L2_SO 1 output DFT_OUT_FPGA_MEM_PERI_SO_0 1 output DFT_OUT_FPGA_MEM_PERI_SO_1 1 output DFT_OUT_FPGA_MEM_PERI_SO_2 1 output DFT_OUT_FPGA_MEM_CPU_SO 1 output DFT_OUT_FPGA_MEM_L2_SO 1 output DFT_OUT_FPGA_VIOSCANOUT 1 output DFT_OUT_FPGA_OCTSERDATA 1 output DFT_OUT_FPGA_OCTCOMPOUT_RUP 1 output DFT_OUT_FPGA_OCTCOMPOUT_RDN 1 output DFT_OUT_FPGA_OCTCLKUSRDFT 1 output DFT_OUT_FPGA_OCTSCANOUT 1 output DFT_OUT_FPGA_HIOCDATA3IN 45 output DFT_OUT_FPGA_HIODQSUNGATING 5 output DFT_OUT_FPGA_HIODQSOUT 5 output DFT_OUT_FPGA_HIOOCTRT 5 output DFT_OUT_FPGA_HIOSCANOUT 2 output DFT_OUT_FPGA_PSTTRACKSAMPLE 5 output DFT_OUT_FPGA_PSTVFIFO 5 output DFT_OUT_FPGA_IPSCOUT 5 output DFT_OUT_FPGA_DLLSETTING 7 output DFT_OUT_FPGA_DLLUPDWNCORE 1 output DFT_OUT_FPGA_DLLLOCKED 1 output DFT_OUT_FPGA_PLL_TESTBUS_OUT 3 output DFT_OUT_FPGA_SCANOUT_2_3 2 output DFT_OUT_FPGA_SCANOUT_15_83 69 output DFT_OUT_FPGA_SCANOUT_100_126 27 output DFT_OUT_FPGA_SCANOUT_131_250 120 output DFT_OUT_FPGA_SCANOUT_254_264 11 output DFT_OUT_FPGA_SCANOUT_271_389 119 output</td>
       </tr>
       <tr>
        <td class="parametername">DB_periph_ifaces</td>
        <td class="parametervalue">USB0 {atom_name hps_interface_peripheral_usb interfaces {@orderednames {usb0 usb0_clk_in} usb0 {@no_export 0 properties {} type conduit direction Input} usb0_clk_in {@no_export 0 properties {} type clock direction Input}}} UART1 {atom_name hps_interface_peripheral_uart interfaces {@orderednames uart1 uart1 {@no_export 0 properties {} type conduit direction Input}}} UART0 {atom_name hps_interface_peripheral_uart interfaces {@orderednames uart0 uart0 {@no_export 0 properties {} type conduit direction Input}}} SDIO {atom_name hps_interface_peripheral_sdmmc interfaces {sdio_cclk {@no_export 0 properties {} type clock direction Output} sdio {@no_export 0 properties {} type conduit direction Input} @orderednames {sdio sdio_reset sdio_cclk} sdio_reset {@no_export 0 properties {synchronousEdges none} type reset direction Output}}} I2C3 {atom_name hps_interface_peripheral_i2c interfaces {i2c3_clk {@no_export 0 properties {} type clock direction Output} @orderednames {i2c3_scl_in i2c3_clk i2c3} i2c3 {@no_export 0 properties {} type conduit direction Input} i2c3_scl_in {@no_export 0 properties {} type clock direction Input}}} I2C2 {atom_name hps_interface_peripheral_i2c interfaces {@orderednames {i2c2_scl_in i2c2_clk i2c2} i2c2 {@no_export 0 properties {} type conduit direction Input} i2c2_clk {@no_export 0 properties {} type clock direction Output} i2c2_scl_in {@no_export 0 properties {} type clock direction Input}}} I2C1 {atom_name hps_interface_peripheral_i2c interfaces {i2c1_clk {@no_export 0 properties {} type clock direction Output} @orderednames {i2c1_scl_in i2c1_clk i2c1} i2c1 {@no_export 0 properties {} type conduit direction Input} i2c1_scl_in {@no_export 0 properties {} type clock direction Input}}} I2C0 {atom_name hps_interface_peripheral_i2c interfaces {@orderednames {i2c0_scl_in i2c0_clk i2c0} i2c0_clk {@no_export 0 properties {} type clock direction Output} i2c0 {@no_export 0 properties {} type conduit direction Input} i2c0_scl_in {@no_export 0 properties {} type clock direction Input}}} @orderednames {EMAC0 EMAC1 NAND QSPI SDIO USB0 USB1 SPIM0 SPIM1 SPIS0 SPIS1 UART0 UART1 I2C0 I2C1 I2C2 I2C3 CAN0 CAN1} CAN1 {atom_name hps_interface_peripheral_can interfaces {can1 {@no_export 0 properties {} type conduit direction Input} @orderednames can1}} CAN0 {atom_name hps_interface_peripheral_can interfaces {can0 {@no_export 0 properties {} type conduit direction Input} @orderednames can0}} QSPI {atom_name hps_interface_peripheral_qspi interfaces {qspi {@no_export 0 properties {} type conduit direction Input} @orderednames {qspi_sclk_out qspi} qspi_sclk_out {@no_export 0 properties {} type clock direction Output}}} SPIM1 {atom_name hps_interface_peripheral_spi_master interfaces {spim1_sclk_out {@no_export 0 properties {} type clock direction Output} @orderednames {spim1 spim1_sclk_out} spim1 {@no_export 0 properties {} type conduit direction Input}}} NAND {atom_name hps_interface_peripheral_nand interfaces {@orderednames nand nand {@no_export 0 properties {} type conduit direction Input}}} SPIM0 {atom_name hps_interface_peripheral_spi_master interfaces {spim0_sclk_out {@no_export 0 properties {} type clock direction Output} @orderednames {spim0 spim0_sclk_out} spim0 {@no_export 0 properties {} type conduit direction Input}}} SPIS1 {atom_name hps_interface_peripheral_spi_slave interfaces {spis1_sclk_in {@no_export 0 properties {} type clock direction Input} @orderednames {spis1 spis1_sclk_in} spis1 {@no_export 0 properties {} type conduit direction Input}}} SPIS0 {atom_name hps_interface_peripheral_spi_slave interfaces {spis0_sclk_in {@no_export 0 properties {} type clock direction Input} @orderednames {spis0 spis0_sclk_in} spis0 {@no_export 0 properties {} type conduit direction Input}}} EMAC1 {atom_name hps_interface_peripheral_emac interfaces {emac1_tx_clk_in {@no_export 0 properties {} type clock direction Input} emac1_rx_clk_in {@no_export 0 properties {} type clock direction Input} emac1_tx_reset {@no_export 0 properties {associatedClock emac1_tx_clk_in associatedResetSinks none} type reset direction Output} @orderednames {emac1 emac1_md_clk emac1_rx_clk_in emac1_tx_clk_in emac1_gtx_clk emac1_tx_reset emac1_rx_reset} emac1_rx_reset {@no_export 0 properties {associatedClock emac1_rx_clk_in associatedResetSinks none} type reset direction Output} emac1_md_clk {@no_export 0 properties {} type clock direction Output} emac1_gtx_clk {@no_export 0 properties {} type clock direction Output} emac1 {@no_export 0 properties {} type conduit direction Input}}} EMAC0 {atom_name hps_interface_peripheral_emac interfaces {emac0_rx_reset {@no_export 0 properties {associatedClock emac0_rx_clk_in associatedResetSinks none} type reset direction Output} @orderednames {emac0 emac0_md_clk emac0_rx_clk_in emac0_tx_clk_in emac0_gtx_clk emac0_tx_reset emac0_rx_reset} emac0_tx_reset {@no_export 0 properties {associatedClock emac0_tx_clk_in associatedResetSinks none} type reset direction Output} emac0_md_clk {@no_export 0 properties {} type clock direction Output} emac0_gtx_clk {@no_export 0 properties {} type clock direction Output} emac0 {@no_export 0 properties {} type conduit direction Input} emac0_tx_clk_in {@no_export 0 properties {} type clock direction Input} emac0_rx_clk_in {@no_export 0 properties {} type clock direction Input}}} USB1 {atom_name hps_interface_peripheral_usb interfaces {@orderednames {usb1 usb1_clk_in} usb1 {@no_export 0 properties {} type conduit direction Input} usb1_clk_in {@no_export 0 properties {} type clock direction Input}}}</td>
       </tr>
       <tr>
        <td class="parametername">DB_iface_ports</td>
        <td class="parametervalue">can0 {can0_rxd {atom_signal_name rxd direction Input role rxd} @orderednames {can0_rxd can0_txd} can0_txd {atom_signal_name txd direction Output role txd}} emac0_rx_reset {@orderednames emac0_rst_clk_rx_n_o emac0_rst_clk_rx_n_o {atom_signal_name rst_clk_rx_n_o direction Output role reset_n}} emac1 {emac1_ptp_aux_ts_trig_i {atom_signal_name ptp_aux_ts_trig_i direction Input role ptp_aux_ts_trig_i} emac1_ptp_pps_o {atom_signal_name ptp_pps_o direction Output role ptp_pps_o} emac1_phy_rxer_i {atom_signal_name phy_rxer_i direction Input role phy_rxer_i} emac1_phy_col_i {atom_signal_name phy_col_i direction Input role phy_col_i} @orderednames {emac1_phy_txd_o emac1_phy_txen_o emac1_phy_txer_o emac1_phy_rxdv_i emac1_phy_rxer_i emac1_phy_rxd_i emac1_phy_col_i emac1_phy_crs_i emac1_gmii_mdo_o emac1_gmii_mdo_o_e emac1_gmii_mdi_i emac1_ptp_pps_o emac1_ptp_aux_ts_trig_i} emac1_phy_rxdv_i {atom_signal_name phy_rxdv_i direction Input role phy_rxdv_i} emac1_phy_txd_o {atom_signal_name phy_txd_o direction Output role phy_txd_o} emac1_gmii_mdo_o_e {atom_signal_name gmii_mdo_o_e direction Output role gmii_mdo_o_e} emac1_gmii_mdi_i {atom_signal_name gmii_mdi_i direction Input role gmii_mdi_i} emac1_phy_txer_o {atom_signal_name phy_txer_o direction Output role phy_txer_o} emac1_gmii_mdo_o {atom_signal_name gmii_mdo_o direction Output role gmii_mdo_o} emac1_phy_txen_o {atom_signal_name phy_txen_o direction Output role phy_txen_o} emac1_phy_rxd_i {atom_signal_name phy_rxd_i direction Input role phy_rxd_i} emac1_phy_crs_i {atom_signal_name phy_crs_i direction Input role phy_crs_i}} emac0 {emac0_phy_rxd_i {atom_signal_name phy_rxd_i direction Input role phy_rxd_i} emac0_phy_crs_i {atom_signal_name phy_crs_i direction Input role phy_crs_i} emac0_phy_rxer_i {atom_signal_name phy_rxer_i direction Input role phy_rxer_i} @orderednames {emac0_phy_txd_o emac0_phy_txen_o emac0_phy_txer_o emac0_phy_rxdv_i emac0_phy_rxer_i emac0_phy_rxd_i emac0_phy_col_i emac0_phy_crs_i emac0_gmii_mdo_o emac0_gmii_mdo_o_e emac0_gmii_mdi_i emac0_ptp_pps_o emac0_ptp_aux_ts_trig_i} emac0_ptp_pps_o {atom_signal_name ptp_pps_o direction Output role ptp_pps_o} emac0_phy_rxdv_i {atom_signal_name phy_rxdv_i direction Input role phy_rxdv_i} emac0_phy_col_i {atom_signal_name phy_col_i direction Input role phy_col_i} emac0_gmii_mdo_o_e {atom_signal_name gmii_mdo_o_e direction Output role gmii_mdo_o_e} emac0_gmii_mdi_i {atom_signal_name gmii_mdi_i direction Input role gmii_mdi_i} emac0_phy_txer_o {atom_signal_name phy_txer_o direction Output role phy_txer_o} emac0_gmii_mdo_o {atom_signal_name gmii_mdo_o direction Output role gmii_mdo_o} emac0_phy_txd_o {atom_signal_name phy_txd_o direction Output role phy_txd_o} emac0_phy_txen_o {atom_signal_name phy_txen_o direction Output role phy_txen_o} emac0_ptp_aux_ts_trig_i {atom_signal_name ptp_aux_ts_trig_i direction Input role ptp_aux_ts_trig_i}} sdio_cclk {@orderednames sdmmc_cclk_out sdmmc_cclk_out {atom_signal_name cclk_out direction Output role clk}} i2c1_clk {@orderednames i2c1_out_clk i2c1_out_clk {atom_signal_name out_clk direction Output role clk}} sdio {sdmmc_cmd_o {atom_signal_name cmd_o direction Output role cmd_o} @orderednames {sdmmc_vs_o sdmmc_pwr_ena_o sdmmc_wp_i sdmmc_cdn_i sdmmc_card_intn_i sdmmc_cmd_i sdmmc_cmd_o sdmmc_cmd_en sdmmc_data_i sdmmc_data_o sdmmc_data_en} sdmmc_cmd_i {atom_signal_name cmd_i direction Input role cmd_i} sdmmc_data_o {atom_signal_name data_o direction Output role data_o} sdmmc_card_intn_i {atom_signal_name card_intn_i direction Input role card_intn_i} sdmmc_vs_o {atom_signal_name vs_o direction Output role vs_o} sdmmc_data_en {atom_signal_name data_en direction Output role data_en} sdmmc_data_i {atom_signal_name data_i direction Input role data_i} sdmmc_cmd_en {atom_signal_name cmd_en direction Output role cmd_en} sdmmc_pwr_ena_o {atom_signal_name pwr_ena_o direction Output role pwr_ena_o} sdmmc_wp_i {atom_signal_name wp_i direction Input role wp_i} sdmmc_cdn_i {atom_signal_name cdn_i direction Input role cdn_i}} emac1_gtx_clk {@orderednames emac1_phy_txclk_o emac1_phy_txclk_o {atom_signal_name phy_txclk_o direction Output role clk}} emac0_tx_reset {@orderednames emac0_rst_clk_tx_n_o emac0_rst_clk_tx_n_o {atom_signal_name rst_clk_tx_n_o direction Output role reset_n}} usb1 {usb1_ulpi_stp {atom_signal_name stp direction Output role ulpi_stp} usb1_ulpi_dataout {atom_signal_name dataout direction Output role ulpi_dataout} usb1_ulpi_nxt {atom_signal_name nxt direction Input role ulpi_nxt} @orderednames {usb1_ulpi_dir usb1_ulpi_nxt usb1_ulpi_datain usb1_ulpi_stp usb1_ulpi_dataout usb1_ulpi_data_out_en} usb1_ulpi_dir {atom_signal_name dir direction Input role ulpi_dir} usb1_ulpi_datain {atom_signal_name datain direction Input role ulpi_datain} usb1_ulpi_data_out_en {atom_signal_name data_out_en direction Output role ulpi_data_out_en}} usb0 {usb0_ulpi_stp {atom_signal_name stp direction Output role ulpi_stp} usb0_ulpi_nxt {atom_signal_name nxt direction Input role ulpi_nxt} usb0_ulpi_dataout {atom_signal_name dataout direction Output role ulpi_dataout} @orderednames {usb0_ulpi_dir usb0_ulpi_nxt usb0_ulpi_datain usb0_ulpi_stp usb0_ulpi_dataout usb0_ulpi_data_out_en} usb0_ulpi_dir {atom_signal_name dir direction Input role ulpi_dir} usb0_ulpi_data_out_en {atom_signal_name data_out_en direction Output role ulpi_data_out_en} usb0_ulpi_datain {atom_signal_name datain direction Input role ulpi_datain}} uart1 {uart1_ri {atom_signal_name ri direction Input role ri} uart1_rxd {atom_signal_name rxd direction Input role rxd} uart1_dsr {atom_signal_name dsr direction Input role dsr} @orderednames {uart1_cts uart1_dsr uart1_dcd uart1_ri uart1_dtr uart1_rts uart1_out1_n uart1_out2_n uart1_rxd uart1_txd} uart1_out1_n {atom_signal_name out1_n direction Output role out1_n} uart1_dcd {atom_signal_name dcd direction Input role dcd} uart1_txd {atom_signal_name txd direction Output role txd} uart1_cts {atom_signal_name cts direction Input role cts} uart1_out2_n {atom_signal_name out2_n direction Output role out2_n} uart1_dtr {atom_signal_name dtr direction Output role dtr} uart1_rts {atom_signal_name rts direction Output role rts}} emac1_rx_reset {@orderednames emac1_rst_clk_rx_n_o emac1_rst_clk_rx_n_o {atom_signal_name rst_clk_rx_n_o direction Output role reset_n}} uart0 {uart0_rxd {atom_signal_name rxd direction Input role rxd} uart0_dsr {atom_signal_name dsr direction Input role dsr} @orderednames {uart0_cts uart0_dsr uart0_dcd uart0_ri uart0_dtr uart0_rts uart0_out1_n uart0_out2_n uart0_rxd uart0_txd} uart0_ri {atom_signal_name ri direction Input role ri} uart0_dcd {atom_signal_name dcd direction Input role dcd} uart0_out1_n {atom_signal_name out1_n direction Output role out1_n} uart0_txd {atom_signal_name txd direction Output role txd} uart0_cts {atom_signal_name cts direction Input role cts} uart0_out2_n {atom_signal_name out2_n direction Output role out2_n} uart0_dtr {atom_signal_name dtr direction Output role dtr} uart0_rts {atom_signal_name rts direction Output role rts}} spim1 {spim1_ss_2_n {atom_signal_name ss_2_n direction Output role ss_2_n} spim1_ss_3_n {atom_signal_name ss_3_n direction Output role ss_3_n} @orderednames {spim1_txd spim1_rxd spim1_ss_in_n spim1_ssi_oe_n spim1_ss_0_n spim1_ss_1_n spim1_ss_2_n spim1_ss_3_n} spim1_rxd {atom_signal_name rxd direction Input role rxd} spim1_ss_0_n {atom_signal_name ss_0_n direction Output role ss_0_n} spim1_ss_in_n {atom_signal_name ss_in_n direction Input role ss_in_n} spim1_ss_1_n {atom_signal_name ss_1_n direction Output role ss_1_n} spim1_ssi_oe_n {atom_signal_name ssi_oe_n direction Output role ssi_oe_n} spim1_txd {atom_signal_name txd direction Output role txd}} spim0 {spim0_ss_in_n {atom_signal_name ss_in_n direction Input role ss_in_n} spim0_txd {atom_signal_name txd direction Output role txd} spim0_ss_2_n {atom_signal_name ss_2_n direction Output role ss_2_n} @orderednames {spim0_txd spim0_rxd spim0_ss_in_n spim0_ssi_oe_n spim0_ss_0_n spim0_ss_1_n spim0_ss_2_n spim0_ss_3_n} spim0_ss_3_n {atom_signal_name ss_3_n direction Output role ss_3_n} spim0_ssi_oe_n {atom_signal_name ssi_oe_n direction Output role ssi_oe_n} spim0_rxd {atom_signal_name rxd direction Input role rxd} spim0_ss_0_n {atom_signal_name ss_0_n direction Output role ss_0_n} spim0_ss_1_n {atom_signal_name ss_1_n direction Output role ss_1_n}} spis1 {spis1_txd {atom_signal_name txd direction Output role txd} @orderednames {spis1_txd spis1_rxd spis1_ss_in_n spis1_ssi_oe_n} spis1_ssi_oe_n {atom_signal_name ssi_oe_n direction Output role ssi_oe_n} spis1_rxd {atom_signal_name rxd direction Input role rxd} spis1_ss_in_n {atom_signal_name ss_in_n direction Input role ss_in_n}} spis0 {spis0_ss_in_n {atom_signal_name ss_in_n direction Input role ss_in_n} spis0_rxd {atom_signal_name rxd direction Input role rxd} @orderednames {spis0_txd spis0_rxd spis0_ss_in_n spis0_ssi_oe_n} spis0_ssi_oe_n {atom_signal_name ssi_oe_n direction Output role ssi_oe_n} spis0_txd {atom_signal_name txd direction Output role txd}} spis1_sclk_in {spis1_sclk_in {atom_signal_name sclk_in direction Input role clk} @orderednames spis1_sclk_in} emac1_tx_reset {emac1_rst_clk_tx_n_o {atom_signal_name rst_clk_tx_n_o direction Output role reset_n} @orderednames emac1_rst_clk_tx_n_o} emac0_md_clk {emac0_gmii_mdc_o {atom_signal_name gmii_mdc_o direction Output role clk} @orderednames emac0_gmii_mdc_o} emac0_tx_clk_in {emac0_clk_tx_i {atom_signal_name clk_tx_i direction Input role clk} @orderednames emac0_clk_tx_i} qspi {qspi_n_mo_en {atom_signal_name n_mo_en direction Output role n_mo_en} @orderednames {qspi_mi0 qspi_mi1 qspi_mi2 qspi_mi3 qspi_mo0 qspi_mo1 qspi_mo2_wpn qspi_mo3_hold qspi_n_mo_en qspi_n_ss_out} qspi_mi3 {atom_signal_name mi3 direction Input role mi3} qspi_mo1 {atom_signal_name mo1 direction Output role mo1} qspi_n_ss_out {atom_signal_name n_ss_out direction Output role n_ss_out} qspi_mi2 {atom_signal_name mi2 direction Input role mi2} qspi_mo2_wpn {atom_signal_name mo2_wpn direction Output role mo2_wpn} qspi_mo0 {atom_signal_name mo0 direction Output role mo0} qspi_mi1 {atom_signal_name mi1 direction Input role mi1} qspi_mi0 {atom_signal_name mi0 direction Input role mi0} qspi_mo3_hold {atom_signal_name mo3_hold direction Output role mo3_hold}} spim0_sclk_out {spim0_sclk_out {atom_signal_name sclk_out direction Output role clk} @orderednames spim0_sclk_out} i2c3 {@orderednames {i2c_emac1_out_data i2c_emac1_sda} i2c_emac1_sda {atom_signal_name sda direction Input role sda} i2c_emac1_out_data {atom_signal_name out_data direction Output role out_data}} i2c0_clk {@orderednames i2c0_out_clk i2c0_out_clk {atom_signal_name out_clk direction Output role clk}} emac1_md_clk {@orderednames emac1_gmii_mdc_o emac1_gmii_mdc_o {atom_signal_name gmii_mdc_o direction Output role clk}} i2c2 {@orderednames {i2c_emac0_out_data i2c_emac0_sda} i2c_emac0_out_data {atom_signal_name out_data direction Output role out_data} i2c_emac0_sda {atom_signal_name sda direction Input role sda}} i2c1 {i2c1_out_data {atom_signal_name out_data direction Output role out_data} @orderednames {i2c1_out_data i2c1_sda} i2c1_sda {atom_signal_name sda direction Input role sda}} i2c0 {i2c0_sda {atom_signal_name sda direction Input role sda} @orderednames {i2c0_out_data i2c0_sda} i2c0_out_data {atom_signal_name out_data direction Output role out_data}} emac0_rx_clk_in {@orderednames emac0_clk_rx_i emac0_clk_rx_i {atom_signal_name clk_rx_i direction Input role clk}} i2c0_scl_in {i2c0_scl {atom_signal_name scl direction Input role clk} @orderednames i2c0_scl} i2c3_clk {@orderednames i2c_emac1_out_clk i2c_emac1_out_clk {atom_signal_name out_clk direction Output role clk}} i2c1_scl_in {@orderednames i2c1_scl i2c1_scl {atom_signal_name scl direction Input role clk}} spim1_sclk_out {spim1_sclk_out {atom_signal_name sclk_out direction Output role clk} @orderednames spim1_sclk_out} i2c2_scl_in {@orderednames i2c_emac0_scl i2c_emac0_scl {atom_signal_name scl direction Input role clk}} usb0_clk_in {@orderednames usb0_ulpi_clk usb0_ulpi_clk {atom_signal_name clk direction Input role clk}} sdio_reset {@orderednames sdmmc_rstn_o sdmmc_rstn_o {atom_signal_name rstn_o direction Output role reset}} emac0_gtx_clk {emac0_phy_txclk_o {atom_signal_name phy_txclk_o direction Output role clk} @orderednames emac0_phy_txclk_o} qspi_sclk_out {@orderednames qspi_sclk_out qspi_sclk_out {atom_signal_name sclk_out direction Output role clk}} i2c3_scl_in {i2c_emac1_scl {atom_signal_name scl direction Input role clk} @orderednames i2c_emac1_scl} emac1_tx_clk_in {@orderednames emac1_clk_tx_i emac1_clk_tx_i {atom_signal_name clk_tx_i direction Input role clk}} usb1_clk_in {@orderednames usb1_ulpi_clk usb1_ulpi_clk {atom_signal_name clk direction Input role clk}} spis0_sclk_in {spis0_sclk_in {atom_signal_name sclk_in direction Input role clk} @orderednames spis0_sclk_in} i2c2_clk {@orderednames i2c_emac0_out_clk i2c_emac0_out_clk {atom_signal_name out_clk direction Output role clk}} emac1_rx_clk_in {@orderednames emac1_clk_rx_i emac1_clk_rx_i {atom_signal_name clk_rx_i direction Input role clk}} nand {nand_rdy_busy_in {atom_signal_name rdy_busy direction Input role rdy_busy_in} nand_rebar_out {atom_signal_name rebar direction Output role rebar_out} nand_adq_in {atom_signal_name adq_in direction Input role adq_in} @orderednames {nand_adq_in nand_adq_oe nand_adq_out nand_ale_out nand_cebar_out nand_cle_out nand_rebar_out nand_rdy_busy_in nand_webar_out nand_wpbar_out} nand_webar_out {atom_signal_name webar direction Output role webar_out} nand_adq_out {atom_signal_name adq_out direction Output role adq_out} nand_wpbar_out {atom_signal_name wpbar direction Output role wpbar_out} nand_adq_oe {atom_signal_name adq_oe direction Output role adq_oe} nand_cebar_out {atom_signal_name cebar direction Output role cebar_out} nand_ale_out {atom_signal_name ale direction Output role ale_out} nand_cle_out {atom_signal_name cle direction Output role cle_out}} can1 {@orderednames {can1_rxd can1_txd} can1_rxd {atom_signal_name rxd direction Input role rxd} can1_txd {atom_signal_name txd direction Output role txd}}</td>
       </tr>
       <tr>
        <td class="parametername">DB_port_pins</td>
        <td class="parametervalue">i2c_emac0_out_data {0 ic_data_oe} spis1_sclk_in {0 sclk_in} usb1_ulpi_stp {0 ulpi_stp} i2c_emac0_sda {0 ic_data_in_a} can0_rxd {0 can_rxd} nand_adq_in {6 adq_in6 5 adq_in5 4 adq_in4 3 adq_in3 2 adq_in2 1 adq_in1 0 adq_in0 7 adq_in7} i2c1_out_clk {0 ic_clk_oe} emac0_gmii_mdi_i {0 mdi} i2c_emac0_scl {0 ic_clk_in_a} sdmmc_vs_o {0 vs_o} nand_wpbar_out {0 wp_outn} emac1_gmii_mdo_o_e {0 mdo_en} emac0_gmii_mdc_o {0 mdc} i2c_emac1_out_data {0 ic_data_oe} uart0_dtr {0 dtr_n} i2c0_sda {0 ic_data_in_a} spis1_txd {0 txd} usb0_ulpi_nxt {0 ulpi_nxt} qspi_mi3 {0 mi3} qspi_mi2 {0 mi2} spis1_rxd {0 rxd} qspi_mi1 {0 mi1} qspi_mi0 {0 mi0} nand_rebar_out {0 re_outn} i2c0_scl {0 ic_clk_in_a} sdmmc_cdn_i {0 cd_i_n} qspi_n_mo_en {3 n_mo_en3 2 n_mo_en2 1 n_mo_en1 0 n_mo_en0} uart0_out1_n {0 out1_n} emac1_phy_txclk_o {0 tx_clk_o} uart0_dsr {0 dsr_n} sdmmc_cmd_o {0 ccmd_o} spim1_ss_2_n {0 ss_cs2} sdmmc_cmd_i {0 ccmd_i} spis0_ss_in_n {0 ss_in_n} usb0_ulpi_data_out_en {6 ulpi_data_out_en6 5 ulpi_data_out_en5 4 ulpi_data_out_en4 3 ulpi_data_out_en3 2 ulpi_data_out_en2 1 ulpi_data_out_en1 0 ulpi_data_out_en0 7 ulpi_data_out_en7} spim1_ss_0_n {0 ss_cs0} usb1_ulpi_dataout {6 ulpi_dataout6 5 ulpi_dataout5 4 ulpi_dataout4 3 ulpi_dataout3 2 ulpi_dataout2 1 ulpi_dataout1 0 ulpi_dataout0 7 ulpi_dataout7} usb1_ulpi_nxt {0 ulpi_nxt} uart0_ri {0 ri_n} emac1_phy_rxer_i {0 rxer} uart1_dcd {0 dcd_n} nand_cebar_out {3 ce_outn3 2 ce_outn2 1 ce_outn1 0 ce_outn0} emac0_clk_rx_i {0 rx_clk} usb1_ulpi_data_out_en {6 ulpi_data_out_en6 5 ulpi_data_out_en5 4 ulpi_data_out_en4 3 ulpi_data_out_en3 2 ulpi_data_out_en2 1 ulpi_data_out_en1 0 ulpi_data_out_en0 7 ulpi_data_out_en7} nand_adq_out {6 adq_out6 5 adq_out5 4 adq_out4 3 adq_out3 2 adq_out2 1 adq_out1 0 adq_out0 7 adq_out7} emac0_ptp_aux_ts_trig_i {0 ts_trig} spim0_ssi_oe_n {0 ssi_oe_n} usb0_ulpi_datain {6 ulpi_datain6 5 ulpi_datain5 4 ulpi_datain4 3 ulpi_datain3 2 ulpi_datain2 1 ulpi_datain1 0 ulpi_datain0 7 ulpi_datain7} emac0_ptp_pps_o {0 ptp_pps} emac0_phy_txer_o {0 txer} emac0_phy_rxd_i {6 rxd6 5 rxd5 4 rxd4 3 rxd3 2 rxd2 1 rxd1 0 rxd0 7 rxd7} uart1_cts {0 cts_n} emac1_clk_rx_i {0 rx_clk} qspi_mo2_wpn {0 mo2_wpn} emac0_phy_txen_o {0 txen} sdmmc_pwr_ena_o {0 pwer_en_o} emac1_gmii_mdo_o {0 mdo} uart1_txd {0 sout} spim0_ss_3_n {0 ss_cs3} spim1_ssi_oe_n {0 ssi_oe_n} emac0_rst_clk_rx_n_o {0 rst_clk_rx_n_o} spis0_txd {0 txd} qspi_sclk_out {0 sck_out} uart1_rxd {0 sin} emac1_ptp_pps_o {0 ptp_pps} emac1_rst_clk_tx_n_o {0 rst_clk_tx_n_o} spim0_ss_1_n {0 ss_cs1} emac1_phy_rxd_i {6 rxd6 5 rxd5 4 rxd4 3 rxd3 2 rxd2 1 rxd1 0 rxd0 7 rxd7} spis0_rxd {0 rxd} uart1_ri {0 ri_n} usb0_ulpi_dir {0 ulpi_dir} emac1_gmii_mdi_i {0 mdi} uart1_out1_n {0 out1_n} sdmmc_rstn_o {0 rst_out_n} qspi_n_ss_out {3 n_ss_out3 2 n_ss_out2 1 n_ss_out1 0 n_ss_out0} nand_rdy_busy_in {3 rdy_bsy_in3 2 rdy_bsy_in2 1 rdy_bsy_in1 0 rdy_bsy_in0} emac1_gmii_mdc_o {0 mdc} uart0_dcd {0 dcd_n} usb1_ulpi_dir {0 ulpi_dir} emac0_phy_col_i {0 col} sdmmc_data_o {6 cdata_out6 5 cdata_out5 4 cdata_out4 3 cdata_out3 2 cdata_out2 1 cdata_out1 0 cdata_out0 7 cdata_out7} spis1_ss_in_n {0 ss_in_n} sdmmc_data_i {6 cdata_in6 5 cdata_in5 4 cdata_in4 3 cdata_in3 2 cdata_in2 1 cdata_in1 0 cdata_in0 7 cdata_in7} nand_adq_oe {0 adq_oe0} emac0_phy_rxdv_i {0 rxdv} usb1_ulpi_datain {6 ulpi_datain6 5 ulpi_datain5 4 ulpi_datain4 3 ulpi_datain3 2 ulpi_datain2 1 ulpi_datain1 0 ulpi_datain0 7 ulpi_datain7} uart0_cts {0 cts_n} emac0_phy_crs_i {0 crs} emac1_phy_col_i {0 col} i2c_emac0_out_clk {0 ic_clk_oe} spim0_sclk_out {0 sclk_out} i2c0_out_data {0 ic_data_oe} qspi_mo1 {0 mo1} qspi_mo0 {0 mo0} spim0_ss_in_n {0 ss_in_n} spim1_txd {0 txd} uart0_out2_n {0 out2_n} spis0_sclk_in {0 sclk_in} uart0_txd {0 sout} nand_cle_out {0 cle_out} emac0_gmii_mdo_o_e {0 mdo_en} spim1_rxd {0 rxd} emac0_clk_tx_i {0 tx_clk_i} spim1_ss_3_n {0 ss_cs3} i2c0_out_clk {0 ic_clk_oe} uart0_rxd {0 sin} uart1_rts {0 rts_n} spim1_ss_1_n {0 ss_cs1} emac1_phy_crs_i {0 crs} qspi_mo3_hold {0 mo3_hold} can1_txd {0 can_txd} emac1_phy_txer_o {0 txer} usb0_ulpi_clk {0 ulpi_clk} i2c_emac1_sda {0 ic_data_in_a} can1_rxd {0 can_rxd} nand_ale_out {0 ale_out} spim1_sclk_out {0 sclk_out} i2c1_out_data {0 ic_data_oe} emac0_phy_txd_o {6 txd6 5 txd5 4 txd4 3 txd3 2 txd2 1 txd1 0 txd0 7 txd7} emac1_phy_txen_o {0 txen} spis0_ssi_oe_n {0 ssi_oe_n} nand_webar_out {0 we_outn} emac1_clk_tx_i {0 tx_clk_i} i2c_emac1_scl {0 ic_clk_in_a} emac1_ptp_aux_ts_trig_i {0 ts_trig} usb0_ulpi_dataout {6 ulpi_dataout6 5 ulpi_dataout5 4 ulpi_dataout4 3 ulpi_dataout3 2 ulpi_dataout2 1 ulpi_dataout1 0 ulpi_dataout0 7 ulpi_dataout7} usb1_ulpi_clk {0 ulpi_clk} emac0_phy_rxer_i {0 rxer} uart1_dtr {0 dtr_n} i2c1_sda {0 ic_data_in_a} sdmmc_wp_i {0 wp_i} emac1_phy_txd_o {6 txd6 5 txd5 4 txd4 3 txd3 2 txd2 1 txd1 0 txd0 7 txd7} sdmmc_cclk_out {0 cclk_out} spis1_ssi_oe_n {0 ssi_oe_n} sdmmc_card_intn_i {0 card_int_n} i2c1_scl {0 ic_clk_in_a} emac0_phy_txclk_o {0 tx_clk_o} emac1_rst_clk_rx_n_o {0 rst_clk_rx_n_o} spim0_ss_2_n {0 ss_cs2} uart1_dsr {0 dsr_n} spim1_ss_in_n {0 ss_in_n} usb0_ulpi_stp {0 ulpi_stp} emac0_rst_clk_tx_n_o {0 rst_clk_tx_n_o} spim0_ss_0_n {0 ss_cs0} spim0_txd {0 txd} uart1_out2_n {0 out2_n} spim0_rxd {0 rxd} i2c_emac1_out_clk {0 ic_clk_oe} sdmmc_cmd_en {0 ccmd_en} emac1_phy_rxdv_i {0 rxdv} uart0_rts {0 rts_n} emac0_gmii_mdo_o {0 mdo} sdmmc_data_en {6 cdata_out_en6 5 cdata_out_en5 4 cdata_out_en4 3 cdata_out_en3 2 cdata_out_en2 1 cdata_out_en1 0 cdata_out_en0 7 cdata_out_en7} can0_txd {0 can_txd}</td>
       </tr>
       <tr>
        <td class="parametername">DB_bfm_types</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">pin_muxing</td>
        <td class="parametervalue">{USB0 {signals_by_mode {SDR {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} {SDR without external clock} {D0 D1 D2 D3 D4 D5 D6 D7 STP DIR NXT}} pin_sets {{HPS I/O Set 0} {locations {PIN_P25A0T PIN_P25B0T PIN_P25A1T PIN_P25B1T PIN_P26A0T PIN_P26B0T PIN_P26A1T PIN_P26B1T PIN_P27A0T PIN_P27B0T PIN_P27A1T PIN_P27B1T} signals {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} signal_parts {{USB_ULPI_DATA_I(0:0) USB_ULPI_DATA_O(0:0) USB_ULPI_DATA_OE(0:0)} {USB_ULPI_DATA_I(1:1) USB_ULPI_DATA_O(1:1) USB_ULPI_DATA_OE(1:1)} {USB_ULPI_DATA_I(2:2) USB_ULPI_DATA_O(2:2) USB_ULPI_DATA_OE(2:2)} {USB_ULPI_DATA_I(3:3) USB_ULPI_DATA_O(3:3) USB_ULPI_DATA_OE(3:3)} {USB_ULPI_DATA_I(4:4) USB_ULPI_DATA_O(4:4) USB_ULPI_DATA_OE(4:4)} {USB_ULPI_DATA_I(5:5) USB_ULPI_DATA_O(5:5) USB_ULPI_DATA_OE(5:5)} {USB_ULPI_DATA_I(6:6) USB_ULPI_DATA_O(6:6) USB_ULPI_DATA_OE(6:6)} {USB_ULPI_DATA_I(7:7) USB_ULPI_DATA_O(7:7) USB_ULPI_DATA_OE(7:7)} {USB_ULPI_CLK(0:0) {} {}} {{} USB_ULPI_STP(0:0) {}} {USB_ULPI_DIR(0:0) {} {}} {USB_ULPI_NXT(0:0) {} {}}} mux_selects {2 2 2 2 2 2 2 2 2 2 2 2} valid_modes {SDR {SDR without external clock}} pins {FLASHIO0 FLASHIO1 FLASHIO2 FLASHIO3 FLASHIO4 FLASHIO5 FLASHIO6 FLASHIO7 FLASHIO8 FLASHIO9 FLASHIO10 FLASHIO11}}}} UART1 {signals_by_mode {{Flow Control} {RX TX CTS RTS} {No Flow Control} {RX TX}} pin_sets {{HPS I/O Set 0} {locations {PIN_P16B1T PIN_P17A0T PIN_P17B1T PIN_P18A0T} signals {CTS RTS RX TX} signal_parts {{UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}} {UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}}} mux_selects {1 1 2 2} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO11 GENERALIO12 GENERALIO15 GENERALIO16}}}} UART0 {signals_by_mode {{Flow Control} {RX TX CTS RTS} {No Flow Control} {RX TX}} pin_sets {{HPS I/O Set 2} {locations {PIN_P18B0T PIN_P18A1T PIN_P16B0T PIN_P16A1T} signals {RX TX CTS RTS} signal_parts {{UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}} {UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}}} mux_selects {2 2 1 1} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO17 GENERALIO18 GENERALIO9 GENERALIO10}} {HPS I/O Set 1} {locations {PIN_P17B0T PIN_P17A1T PIN_P16B0T PIN_P16A1T} signals {RX TX CTS RTS} signal_parts {{UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}} {UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}}} mux_selects {3 3 1 1} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO13 GENERALIO14 GENERALIO9 GENERALIO10}} {HPS I/O Set 0} {locations {PIN_P14B0T PIN_P14A1T PIN_P16B0T PIN_P16A1T} signals {RX TX CTS RTS} signal_parts {{UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}} {UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}}} mux_selects {1 1 1 1} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO1 GENERALIO2 GENERALIO9 GENERALIO10}}}} SDIO {signals_by_mode {{1-bit Data} {CMD CLK D0} {4-bit Data} {CMD CLK D0 D1 D2 D3} {8-bit Data with PWREN} {CMD CLK D0 D1 D2 D3 D4 D5 D6 D7 PWREN} {8-bit Data} {CMD CLK D0 D1 D2 D3 D4 D5 D6 D7} {1-bit Data with PWREN} {CMD CLK D0 PWREN} {4-bit Data with PWREN} {CMD CLK D0 D1 D2 D3 PWREN}} pin_sets {{HPS I/O Set 0} {locations {PIN_P25A0T PIN_P25B0T PIN_P25A1T PIN_P25B1T PIN_P26A0T PIN_P26B0T PIN_P26A1T PIN_P26B1T PIN_P27A0T PIN_P27B0T PIN_P27A1T PIN_P27B1T} signals {CMD PWREN D0 D1 D4 D5 D6 D7 HPS_GPIO44 CLK D2 D3} signal_parts {{SDMMC_CMD_I(0:0) SDMMC_CMD_O(0:0) SDMMC_CMD_OE(0:0)} {{} SDMMC_PWR_EN(0:0) {}} {SDMMC_DATA_I(0:0) SDMMC_DATA_O(0:0) SDMMC_DATA_OE(0:0)} {SDMMC_DATA_I(1:1) SDMMC_DATA_O(1:1) SDMMC_DATA_OE(1:1)} {SDMMC_DATA_I(4:4) SDMMC_DATA_O(4:4) SDMMC_DATA_OE(4:4)} {SDMMC_DATA_I(5:5) SDMMC_DATA_O(5:5) SDMMC_DATA_OE(5:5)} {SDMMC_DATA_I(6:6) SDMMC_DATA_O(6:6) SDMMC_DATA_OE(6:6)} {SDMMC_DATA_I(7:7) SDMMC_DATA_O(7:7) SDMMC_DATA_OE(7:7)} HPS_GPIO44 {{} SDMMC_CCLK(0:0) {}} {SDMMC_DATA_I(2:2) SDMMC_DATA_O(2:2) SDMMC_DATA_OE(2:2)} {SDMMC_DATA_I(3:3) SDMMC_DATA_O(3:3) SDMMC_DATA_OE(3:3)}} mux_selects {3 3 3 3 3 3 3 3 3 3 3 3} valid_modes {{1-bit Data} {4-bit Data} {8-bit Data with PWREN} {8-bit Data} {1-bit Data with PWREN} {4-bit Data with PWREN}} pins {FLASHIO0 FLASHIO1 FLASHIO2 FLASHIO3 FLASHIO4 FLASHIO5 FLASHIO6 FLASHIO7 FLASHIO8 FLASHIO9 FLASHIO10 FLASHIO11}}}} I2C3 {signals_by_mode {I2C {SDA SCL} {Used by EMAC1} {SDA SCL}} pin_sets {{HPS I/O Set 0} {locations {PIN_P20A1T PIN_P20B1T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} valid_modes {I2C {Used by EMAC1}} mux_selects {1 1} pins {MIXED1IO6 MIXED1IO7}}}} I2C2 {signals_by_mode {I2C {SDA SCL} {Used by EMAC0} {SDA SCL}} pin_sets {{HPS I/O Set 0} {locations {PIN_P29A1T PIN_P29B1T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} valid_modes {I2C {Used by EMAC0}} mux_selects {1 1} pins {EMACIO6 EMACIO7}}}} I2C1 {signals_by_mode {I2C {SDA SCL}} pin_sets {{HPS I/O Set 1} {locations {PIN_P16B0T PIN_P16A1T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {2 2} valid_modes I2C pins {GENERALIO9 GENERALIO10}} {HPS I/O Set 0} {locations {PIN_P14B1T PIN_P15A0T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {1 1} valid_modes I2C pins {GENERALIO3 GENERALIO4}}}} I2C0 {signals_by_mode {I2C {SDA SCL}} pin_sets {{HPS I/O Set 1} {locations {PIN_P17B1T PIN_P18A0T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {3 3} valid_modes I2C pins {GENERALIO15 GENERALIO16}} {HPS I/O Set 0} {locations {PIN_P15B1T PIN_P16A0T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {1 1} valid_modes I2C pins {GENERALIO7 GENERALIO8}}}} TRACE {signals_by_mode {HPSx4 {CLK D0 D1 D2 D3} HPS {CLK D0 D1 D2 D3 D4 D5 D6 D7}} pin_sets {{HPS I/O Set 0} {locations {PIN_P14A0T PIN_P14B0T PIN_P14A1T PIN_P14B1T PIN_P15A0T PIN_P15B0T PIN_P15A1T PIN_P15B1T PIN_P16A0T} signals {CLK D0 D1 D2 D3 D4 D5 D6 D7} signal_parts {{{} TPIU_TRACE_CLK(0:0) {}} {{} TPIU_TRACE_DATA(0:0) {}} {{} TPIU_TRACE_DATA(1:1) {}} {{} TPIU_TRACE_DATA(2:2) {}} {{} TPIU_TRACE_DATA(3:3) {}} {{} TPIU_TRACE_DATA(4:4) {}} {{} TPIU_TRACE_DATA(5:5) {}} {{} TPIU_TRACE_DATA(6:6) {}} {{} TPIU_TRACE_DATA(7:7) {}}} mux_selects {3 3 3 3 3 3 3 3 3} valid_modes {HPSx4 HPS} pins {GENERALIO0 GENERALIO1 GENERALIO2 GENERALIO3 GENERALIO4 GENERALIO5 GENERALIO6 GENERALIO7 GENERALIO8}}}} CAN1 {signals_by_mode {CAN {RX TX}} pin_sets {{HPS I/O Set 1} {locations {PIN_P16B1T PIN_P17A0T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {2 2} valid_modes CAN pins {GENERALIO11 GENERALIO12}} {HPS I/O Set 0} {locations {PIN_P15B0T PIN_P15A1T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {1 1} valid_modes CAN pins {GENERALIO5 GENERALIO6}}}} CAN0 {signals_by_mode {CAN {RX TX}} pin_sets {{HPS I/O Set 1} {locations {PIN_P18B0T PIN_P18A1T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {3 3} valid_modes CAN pins {GENERALIO17 GENERALIO18}} {HPS I/O Set 0} {locations {PIN_P17B0T PIN_P17A1T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {2 2} valid_modes CAN pins {GENERALIO13 GENERALIO14}}}} QSPI {signals_by_mode {{2 SS} {CLK IO0 IO1 IO2 IO3 SS0 SS1} {1 SS} {CLK IO0 IO1 IO2 IO3 SS0} {4 SS} {CLK IO0 IO1 IO2 IO3 SS0 SS1 SS2 SS3}} pin_sets {{HPS I/O Set 1} {locations {PIN_P24B0T PIN_P19A0T PIN_P22B0T PIN_P22B1T PIN_P23A0T PIN_P23B0T PIN_P23A1T PIN_P23B1T PIN_P24A0T} signals {SS1 SS3 SS2 IO0 IO1 IO2 IO3 SS0 CLK} signal_parts {{{} QSPI_SS_N(1:1) {}} {{} QSPI_SS_N(3:3) {}} {{} QSPI_SS_N(2:2) {}} {QSPI_MI0(0:0) QSPI_MO0(0:0) QSPI_MO_EN_N(0:0)} {QSPI_MI1(0:0) QSPI_MO1(0:0) QSPI_MO_EN_N(1:1)} {QSPI_MI2(0:0) QSPI_MO2(0:0) QSPI_MO_EN_N(2:2)} {QSPI_MI3(0:0) QSPI_MO3(0:0) QSPI_MO_EN_N(3:3)} {{} QSPI_SS_N(0:0) {}} {{} QSPI_SCLK(0:0) {}}} mux_selects {3 1 1 3 3 3 3 3 3} valid_modes {{2 SS} {1 SS} {4 SS}} pins {MIXED1IO21 MIXED1IO0 MIXED1IO13 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18 MIXED1IO19 MIXED1IO20}} {HPS I/O Set 0} {locations {PIN_P19A0T PIN_P22B0T PIN_P22A1T PIN_P22B1T PIN_P23A0T PIN_P23B0T PIN_P23A1T PIN_P23B1T PIN_P24A0T} signals {SS3 SS2 SS1 IO0 IO1 IO2 IO3 SS0 CLK} signal_parts {{{} QSPI_SS_N(3:3) {}} {{} QSPI_SS_N(2:2) {}} {{} QSPI_SS_N(1:1) {}} {QSPI_MI0(0:0) QSPI_MO0(0:0) QSPI_MO_EN_N(0:0)} {QSPI_MI1(0:0) QSPI_MO1(0:0) QSPI_MO_EN_N(1:1)} {QSPI_MI2(0:0) QSPI_MO2(0:0) QSPI_MO_EN_N(2:2)} {QSPI_MI3(0:0) QSPI_MO3(0:0) QSPI_MO_EN_N(3:3)} {{} QSPI_SS_N(0:0) {}} {{} QSPI_SCLK(0:0) {}}} mux_selects {1 1 2 3 3 3 3 3 3} valid_modes {{2 SS} {1 SS} {4 SS}} pins {MIXED1IO0 MIXED1IO13 MIXED1IO14 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18 MIXED1IO19 MIXED1IO20}}}} SPIM1 {signals_by_mode {{Dual Slave Selects} {CLK MOSI MISO SS0 SS1} {Single Slave Select} {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P17A1T PIN_P17B1T PIN_P18A0T PIN_P18B0T PIN_P18A1T} signals {SS1 CLK MOSI MISO SS0} signal_parts {{{} SPI_MASTER_SS_1_N(0:0) {}} {{} SPI_MASTER_SCLK(0:0) {}} {{} SPI_MASTER_TXD(0:0) SPI_MASTER_SSI_OE_N(0:0)} {SPI_MASTER_RXD(0:0) {} {}} {{} SPI_MASTER_SS_0_N(0:0) {}}} mux_selects {1 1 1 1 1} valid_modes {{Dual Slave Selects} {Single Slave Select}} pins {GENERALIO14 GENERALIO15 GENERALIO16 GENERALIO17 GENERALIO18}}}} NAND {signals_by_mode {{ONFI 1.0} {ALE CE CLE RE RB DQ0 DQ1 DQ2 DQ3 DQ4 DQ5 DQ6 DQ7 WP WE}} pin_sets {{HPS I/O Set 0} {locations {PIN_P19A0T PIN_P19B0T PIN_P19A1T PIN_P19B1T PIN_P20A0T PIN_P20B0T PIN_P20A1T PIN_P20B1T PIN_P21A0T PIN_P21B0T PIN_P21A1T PIN_P21B1T PIN_P22A0T PIN_P22B0T PIN_P22A1T} signals {ALE CE CLE RE RB DQ0 DQ1 DQ2 DQ3 DQ4 DQ5 DQ6 DQ7 WP WE} signal_parts {{{} NAND_ALE(0:0) {}} {{} NAND_CE_N(0:0) {}} {{} NAND_CLE(0:0) {}} {{} NAND_RE_N(0:0) {}} {NAND_RDY_BUSYN(0:0) {} {}} {NAND_ADQ_I(0:0) NAND_ADQ_O(0:0) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(1:1) NAND_ADQ_O(1:1) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(2:2) NAND_ADQ_O(2:2) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(3:3) NAND_ADQ_O(3:3) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(4:4) NAND_ADQ_O(4:4) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(5:5) NAND_ADQ_O(5:5) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(6:6) NAND_ADQ_O(6:6) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(7:7) NAND_ADQ_O(7:7) NAND_ADQ_OE(0:0)} {{} NAND_WP_N(0:0) {}} {{} NAND_WE_N(0:0) {}}} mux_selects {3 3 3 3 3 3 3 3 3 3 3 3 3 3 3} valid_modes {{ONFI 1.0}} pins {MIXED1IO0 MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO5 MIXED1IO6 MIXED1IO7 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO12 MIXED1IO13 MIXED1IO14}}}} SPIM0 {signals_by_mode {{Dual Slave Selects} {CLK MOSI MISO SS0 SS1} {Single Slave Select} {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P16B0T PIN_P16A1T PIN_P16B1T PIN_P17A0T PIN_P17B0T} signals {CLK MOSI MISO SS0 SS1} signal_parts {{{} SPI_MASTER_SCLK(0:0) {}} {{} SPI_MASTER_TXD(0:0) SPI_MASTER_SSI_OE_N(0:0)} {SPI_MASTER_RXD(0:0) {} {}} {{} SPI_MASTER_SS_0_N(0:0) {}} {{} SPI_MASTER_SS_1_N(0:0) {}}} mux_selects {3 3 3 3 1} valid_modes {{Dual Slave Selects} {Single Slave Select}} pins {GENERALIO9 GENERALIO10 GENERALIO11 GENERALIO12 GENERALIO13}}}} SPIS1 {signals_by_mode {SPI {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P15B0T PIN_P15A1T PIN_P15B1T PIN_P16A0T} signals {CLK MOSI SS0 MISO} signal_parts {{SPI_SLAVE_SCLK(0:0) {} {}} {SPI_SLAVE_RXD(0:0) {} {}} {SPI_SLAVE_SS_N(0:0) {} {}} {{} SPI_SLAVE_TXD(0:0) SPI_SLAVE_SSI_OE_N(0:0)}} mux_selects {2 2 2 2} valid_modes SPI pins {GENERALIO5 GENERALIO6 GENERALIO7 GENERALIO8}}}} SPIS0 {signals_by_mode {SPI {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P14B0T PIN_P14A1T PIN_P14B1T PIN_P15A0T} signals {CLK MOSI MISO SS0} signal_parts {{SPI_SLAVE_SCLK(0:0) {} {}} {SPI_SLAVE_RXD(0:0) {} {}} {{} SPI_SLAVE_TXD(0:0) SPI_SLAVE_SSI_OE_N(0:0)} {SPI_SLAVE_SS_N(0:0) {} {}}} mux_selects {2 2 2 2} valid_modes SPI pins {GENERALIO1 GENERALIO2 GENERALIO3 GENERALIO4}}}} EMAC1 {signals_by_mode {{RGMII with I2C3} {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3} RGMII {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3 MDIO MDC}} pin_sets {{HPS I/O Set 0} {linked_peripheral_pin_set {HPS I/O Set 0} mux_selects {2 2 2 2 2 2 2 2 2 2 2 2 2 2} pins {MIXED1IO0 MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO5 MIXED1IO6 MIXED1IO7 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO12 MIXED1IO13} signals {TX_CLK TXD0 TXD1 TXD2 TXD3 RXD0 MDIO MDC RX_CTL TX_CTL RX_CLK RXD1 RXD2 RXD3} valid_modes {RGMII {RGMII with I2C3}} locations {PIN_P19A0T PIN_P19B0T PIN_P19A1T PIN_P19B1T PIN_P20A0T PIN_P20B0T PIN_P20A1T PIN_P20B1T PIN_P21A0T PIN_P21B0T PIN_P21A1T PIN_P21B1T PIN_P22A0T PIN_P22B0T} linked_peripheral I2C3 linked_peripheral_mode {Used by EMAC1} signal_parts {{{} EMAC_CLK_TX(0:0) {}} {{} EMAC_PHY_TXD(0:0) {}} {{} EMAC_PHY_TXD(1:1) {}} {{} EMAC_PHY_TXD(2:2) {}} {{} EMAC_PHY_TXD(3:3) {}} {EMAC_PHY_RXD(0:0) {} {}} {EMAC_GMII_MDO_I(0:0) EMAC_GMII_MDO_O(0:0) EMAC_GMII_MDO_OE(0:0)} {{} EMAC_GMII_MDC(0:0) {}} {EMAC_PHY_RXDV(0:0) {} {}} {{} EMAC_PHY_TX_OE(0:0) {}} {EMAC_CLK_RX(0:0) {} {}} {EMAC_PHY_RXD(1:1) {} {}} {EMAC_PHY_RXD(2:2) {} {}} {EMAC_PHY_RXD(3:3) {} {}}}}}} EMAC0 {signals_by_mode {{RGMII with I2C2} {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3} RGMII {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3 MDIO MDC}} pin_sets {{HPS I/O Set 0} {linked_peripheral_pin_set {HPS I/O Set 0} mux_selects {3 3 3 3 3 3 3 3 3 3 3 3 3 3} pins {EMACIO0 EMACIO1 EMACIO2 EMACIO3 EMACIO4 EMACIO5 EMACIO6 EMACIO7 EMACIO8 EMACIO9 EMACIO10 EMACIO11 EMACIO12 EMACIO13} signals {TX_CLK TXD0 TXD1 TXD2 TXD3 RXD0 MDIO MDC RX_CTL TX_CTL RX_CLK RXD1 RXD2 RXD3} valid_modes {RGMII {RGMII with I2C2}} locations {PIN_P28A0T PIN_P28B0T PIN_P28A1T PIN_P28B1T PIN_P29A0T PIN_P29B0T PIN_P29A1T PIN_P29B1T PIN_P30A0T PIN_P30B0T PIN_P30A1T PIN_P30B1T PIN_P31A0T PIN_P31B0T} linked_peripheral I2C2 linked_peripheral_mode {Used by EMAC0} signal_parts {{{} EMAC_CLK_TX(0:0) {}} {{} EMAC_PHY_TXD(0:0) {}} {{} EMAC_PHY_TXD(1:1) {}} {{} EMAC_PHY_TXD(2:2) {}} {{} EMAC_PHY_TXD(3:3) {}} {EMAC_PHY_RXD(0:0) {} {}} {EMAC_GMII_MDO_I(0:0) EMAC_GMII_MDO_O(0:0) EMAC_GMII_MDO_OE(0:0)} {{} EMAC_GMII_MDC(0:0) {}} {EMAC_PHY_RXDV(0:0) {} {}} {{} EMAC_PHY_TX_OE(0:0) {}} {EMAC_CLK_RX(0:0) {} {}} {EMAC_PHY_RXD(1:1) {} {}} {EMAC_PHY_RXD(2:2) {} {}} {EMAC_PHY_RXD(3:3) {} {}}}}}} USB1 {signals_by_mode {SDR {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} {SDR without external clock} {D0 D1 D2 D3 D4 D5 D6 D7 STP DIR NXT}} pin_sets {{HPS I/O Set 1} {locations {PIN_P19B0T PIN_P19A1T PIN_P19B1T PIN_P20A0T PIN_P21A0T PIN_P21B0T PIN_P21A1T PIN_P21B1T PIN_P22B1T PIN_P23A0T PIN_P23B0T PIN_P23A1T} signals {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} signal_parts {{USB_ULPI_DATA_I(0:0) USB_ULPI_DATA_O(0:0) USB_ULPI_DATA_OE(0:0)} {USB_ULPI_DATA_I(1:1) USB_ULPI_DATA_O(1:1) USB_ULPI_DATA_OE(1:1)} {USB_ULPI_DATA_I(2:2) USB_ULPI_DATA_O(2:2) USB_ULPI_DATA_OE(2:2)} {USB_ULPI_DATA_I(3:3) USB_ULPI_DATA_O(3:3) USB_ULPI_DATA_OE(3:3)} {USB_ULPI_DATA_I(4:4) USB_ULPI_DATA_O(4:4) USB_ULPI_DATA_OE(4:4)} {USB_ULPI_DATA_I(5:5) USB_ULPI_DATA_O(5:5) USB_ULPI_DATA_OE(5:5)} {USB_ULPI_DATA_I(6:6) USB_ULPI_DATA_O(6:6) USB_ULPI_DATA_OE(6:6)} {USB_ULPI_DATA_I(7:7) USB_ULPI_DATA_O(7:7) USB_ULPI_DATA_OE(7:7)} {USB_ULPI_CLK(0:0) {} {}} {{} USB_ULPI_STP(0:0) {}} {USB_ULPI_DIR(0:0) {} {}} {USB_ULPI_NXT(0:0) {} {}}} mux_selects {1 1 1 1 1 1 1 1 1 1 1 1} valid_modes {SDR {SDR without external clock}} pins {MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18}} {HPS I/O Set 0} {locations {PIN_P28B0T PIN_P28A1T PIN_P28B1T PIN_P29A0T PIN_P29B0T PIN_P29A1T PIN_P29B1T PIN_P30A0T PIN_P30A1T PIN_P30B1T PIN_P31A0T PIN_P31B0T} signals {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} signal_parts {{USB_ULPI_DATA_I(0:0) USB_ULPI_DATA_O(0:0) USB_ULPI_DATA_OE(0:0)} {USB_ULPI_DATA_I(1:1) USB_ULPI_DATA_O(1:1) USB_ULPI_DATA_OE(1:1)} {USB_ULPI_DATA_I(2:2) USB_ULPI_DATA_O(2:2) USB_ULPI_DATA_OE(2:2)} {USB_ULPI_DATA_I(3:3) USB_ULPI_DATA_O(3:3) USB_ULPI_DATA_OE(3:3)} {USB_ULPI_DATA_I(4:4) USB_ULPI_DATA_O(4:4) USB_ULPI_DATA_OE(4:4)} {USB_ULPI_DATA_I(5:5) USB_ULPI_DATA_O(5:5) USB_ULPI_DATA_OE(5:5)} {USB_ULPI_DATA_I(6:6) USB_ULPI_DATA_O(6:6) USB_ULPI_DATA_OE(6:6)} {USB_ULPI_DATA_I(7:7) USB_ULPI_DATA_O(7:7) USB_ULPI_DATA_OE(7:7)} {USB_ULPI_CLK(0:0) {} {}} {{} USB_ULPI_STP(0:0) {}} {USB_ULPI_DIR(0:0) {} {}} {USB_ULPI_NXT(0:0) {} {}}} mux_selects {2 2 2 2 2 2 2 2 2 2 2 2} valid_modes {SDR {SDR without external clock}} pins {EMACIO1 EMACIO2 EMACIO3 EMACIO4 EMACIO5 EMACIO6 EMACIO7 EMACIO8 EMACIO10 EMACIO11 EMACIO12 EMACIO13}}}}} {EMACIO0 EMACIO1 EMACIO2 EMACIO3 EMACIO4 EMACIO5 EMACIO6 EMACIO7 EMACIO8 EMACIO9 EMACIO10 EMACIO11 EMACIO12 EMACIO13 MIXED1IO0 MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO5 MIXED1IO6 MIXED1IO7 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO12 MIXED1IO13 MIXED1IO14 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18 MIXED1IO19 MIXED1IO20 MIXED1IO21 FLASHIO0 FLASHIO1 FLASHIO2 FLASHIO3 FLASHIO4 FLASHIO5 FLASHIO6 FLASHIO7 FLASHIO8 FLASHIO9 FLASHIO10 FLASHIO11 GENERALIO0 GENERALIO1 GENERALIO2 GENERALIO3 GENERALIO4 GENERALIO5 GENERALIO6 GENERALIO7 GENERALIO8 GENERALIO9 GENERALIO10 GENERALIO11 GENERALIO12 GENERALIO13 GENERALIO14 GENERALIO15 GENERALIO16 GENERALIO17 GENERALIO18} {EMACIO0 EMACIO1 EMACIO2 EMACIO3 EMACIO4 EMACIO5 EMACIO6 EMACIO7 EMACIO8 EMACIO9 EMACIO10 EMACIO11 EMACIO12 EMACIO13 MIXED1IO0 MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO5 MIXED1IO6 MIXED1IO7 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO12 MIXED1IO13 MIXED1IO14 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18 MIXED1IO19 MIXED1IO20 MIXED1IO21 FLASHIO0 FLASHIO1 FLASHIO2 FLASHIO3 FLASHIO4 FLASHIO5 FLASHIO6 FLASHIO7 FLASHIO8 FLASHIO9 FLASHIO10 FLASHIO11 GENERALIO0 GENERALIO1 GENERALIO2 GENERALIO3 GENERALIO4 GENERALIO5 GENERALIO6 GENERALIO7 GENERALIO8 GENERALIO9 GENERALIO10 GENERALIO11 GENERALIO12 GENERALIO13 GENERALIO14 GENERALIO15 GENERALIO16 GENERALIO17 GENERALIO18} {RGMII0_TX_CLK RGMII0_TXD0 RGMII0_TXD1 RGMII0_TXD2 RGMII0_TXD3 RGMII0_RXD0 RGMII0_MDIO {RGMII0_MDC } RGMII0_RX_CTL RGMII0_TX_CTL RGMII0_RX_CLK RGMII0_RXD1 RGMII0_RXD2 RGMII0_RXD3 NAND_ALE NAND_CE NAND_CLE NAND_RE NAND_RB NAND_DQ0 NAND_DQ1 NAND_DQ2 NAND_DQ3 NAND_DQ4 NAND_DQ5 NAND_DQ6 NAND_DQ7 NAND_WP NAND_WE QSPI_IO0 QSPI_IO1 QSPI_IO2 QSPI_IO3 QSPI_SS0 QSPI_CLK QSPI_SS1 SDMMC_CMD SDMMC_PWREN SDMMC_D0 SDMMC_D1 SDMMC_D4 SDMMC_D5 SDMMC_D6 SDMMC_D7 HPS_GPIO44 SDMMC_CCLK_OUT SDMMC_D2 SDMMC_D3 TRACE_CLK TRACE_D0 TRACE_D1 TRACE_D2 TRACE_D3 TRACE_D4 TRACE_D5 TRACE_D6 TRACE_D7 SPIM0_CLK SPIM0_MOSI SPIM0_MISO SPIM0_SS0 UART0_RX UART0_TX I2C0_SDA I2C0_SCL CAN0_RX CAN0_TX} {DDRIO63_HPS DDRIO62_HPS DDRIO49_HPS DDRIO47_HPS DDRIO46_HPS DDRIO38_HPS DDRIO33_HPS DDRIO31_HPS DDRIO30_HPS DDRIO24_HPS DDRIO18_HPS DDRIO16_HPS DDRIO15_HPS DDRIO9_HPS}</td>
       </tr>
       <tr>
        <td class="parametername">pin_muxing_check</td>
        <td class="parametervalue">Cyclone V+5CSEMA4U23C6</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_DEVICE_SPEEDGRADE</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_fpga_interfaces"> </a>
  <div>
   <hr/>
   <h2>hps_0_fpga_interfaces</h2>altera_interface_generator v17.1
   <br/>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">AC_ROM_MR0</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR0_MIRR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR0_CALIB</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR0_DLL_RESET</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR0_DLL_RESET_MIRR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR1</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR1_MIRR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR1_CALIB</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR1_OCD_ENABLE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR2</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR2_MIRR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR3</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR3_MIRR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">USE_NEG_EDGE_AC_TRANSFER_FOR_HPHY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MR0_BL</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MR0_BT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR0_CAS_LATENCY</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">MR0_DLL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR0_WR</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">MR0_PD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_DLL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_ODS</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR1_RTT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR1_AL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_WL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_TDQS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_QOFF</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_DQS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_RDQS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR2_CWL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR2_ASR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR2_SRT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR2_SRF</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR2_RTT_WR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR3_MPR_RF</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR3_MPR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR3_MPR_AA</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_BL</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MR1_BT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_WC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_WR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR2_RLWL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR3_DS</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MR1_DS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_PASR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_READ_DQS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_WRITE_DQS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">SCC_DATA_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_ADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_ADDR_WIDTH_MIN</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_ROW_ADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_COL_ADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DM_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CS_PER_RANK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_NUMBER_OF_RANKS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CS_PER_DIMM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CONTROL_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_BURST_LENGTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_LEVELING</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DQS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CHIP_BITS</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_BANKADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DQ_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CK_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CLK_EN_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CLK_PAIR_COUNT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DEVICE_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_MAX_NS</td>
        <td class="parametervalue">2.5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_MAX_PS</td>
        <td class="parametervalue">2500.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRAS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRCD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TREFI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRFC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_TCCD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TWR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TFAW</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRRD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRTP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DQS_TO_CLK_CAPTURE_DELAY</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_TO_DQS_CAPTURE_DELAY</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_ODT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_WTCL_INT</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">FLY_BY</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">RDIMM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">LRDIMM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">RDIMM_INT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">LRDIMM_INT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_LRDIMM_RM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_RD_TO_WR_TURNAROUND_OCT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_WR_TO_RD_TURNAROUND_OCT</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">CTL_RD_TO_PCH_EXTRA_CLK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_RD_TO_RD_EXTRA_CLK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_WR_TO_WR_EXTRA_CLK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_RD_TO_RD_DIFF_CHIP_EXTRA_CLK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_WR_TO_WR_DIFF_CHIP_EXTRA_CLK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TYPE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">MEM_MIRROR_ADDRESSING_DEC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ATCL_INT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_REGDIMM_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_LRDIMM_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_VENDOR</td>
        <td class="parametervalue">JEDEC</td>
       </tr>
       <tr>
        <td class="parametername">MEM_FORMAT</td>
        <td class="parametervalue">DISCRETE</td>
       </tr>
       <tr>
        <td class="parametername">AC_PARITY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">RDIMM_CONFIG</td>
        <td class="parametervalue">0000000000000000</td>
       </tr>
       <tr>
        <td class="parametername">LRDIMM_EXTENDED_CONFIG</td>
        <td class="parametervalue">0x000000000000000000</td>
       </tr>
       <tr>
        <td class="parametername">DISCRETE_FLY_BY</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">DEVICE_DEPTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_MIRROR_ADDRESSING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_FREQ_MAX</td>
        <td class="parametervalue">400.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ROW_ADDR_WIDTH</td>
        <td class="parametervalue">12</td>
       </tr>
       <tr>
        <td class="parametername">MEM_COL_ADDR_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DQ_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DQ_PER_DQS</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_BANKADDR_WIDTH</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DM_PINS_EN</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DQSN_EN</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_NUMBER_OF_DIMMS</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_NUMBER_OF_RANKS_PER_DIMM</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_NUMBER_OF_RANKS_PER_DEVICE</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_RANK_MULTIPLICATION_FACTOR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CK_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CS_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_EN_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">ALTMEMPHY_COMPATIBLE_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">NEXTGEN</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_BOARD_BASE_DELAY</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_SIM_VALID_WINDOW</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_GUARANTEED_WRITE_INIT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_VERBOSE</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">PINGPONGPHY_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DUPLICATE_AC</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REFRESH_BURST_VALIDATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AP_MODE_EN</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AP_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_BL</td>
        <td class="parametervalue">OTF</td>
       </tr>
       <tr>
        <td class="parametername">MEM_BT</td>
        <td class="parametervalue">Sequential</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ASR</td>
        <td class="parametervalue">Manual</td>
       </tr>
       <tr>
        <td class="parametername">MEM_SRT</td>
        <td class="parametervalue">Normal</td>
       </tr>
       <tr>
        <td class="parametername">MEM_PD</td>
        <td class="parametervalue">DLL off</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DRV_STR</td>
        <td class="parametervalue">RZQ/6</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DLL_EN</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_RTT_NOM</td>
        <td class="parametervalue">ODT Disabled</td>
       </tr>
       <tr>
        <td class="parametername">MEM_RTT_WR</td>
        <td class="parametervalue">Dynamic ODT off</td>
       </tr>
       <tr>
        <td class="parametername">MEM_WTCL</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ATCL</td>
        <td class="parametervalue">Disabled</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TCL</td>
        <td class="parametervalue">7</td>
       </tr>
       <tr>
        <td class="parametername">MEM_AUTO_LEVELING_MODE</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_USER_LEVELING_MODE</td>
        <td class="parametervalue">Leveling</td>
       </tr>
       <tr>
        <td class="parametername">MEM_INIT_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_INIT_FILE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">DAT_DATA_WIDTH</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TIS</td>
        <td class="parametervalue">175</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TIH</td>
        <td class="parametervalue">250</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDS</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDH</td>
        <td class="parametervalue">125</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSQ</td>
        <td class="parametervalue">120</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TQHS</td>
        <td class="parametervalue">300</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TQH</td>
        <td class="parametervalue">0.38</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSCK</td>
        <td class="parametervalue">400</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSCKDS</td>
        <td class="parametervalue">450</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSCKDM</td>
        <td class="parametervalue">900</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSCKDL</td>
        <td class="parametervalue">1200</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSS</td>
        <td class="parametervalue">0.25</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSH</td>
        <td class="parametervalue">0.35</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TQSH</td>
        <td class="parametervalue">0.38</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDSH</td>
        <td class="parametervalue">0.2</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDSS</td>
        <td class="parametervalue">0.2</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TINIT_US</td>
        <td class="parametervalue">499</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TINIT_CK</td>
        <td class="parametervalue">499</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TDQSCK</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TMRD_CK</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRAS_NS</td>
        <td class="parametervalue">40.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRCD_NS</td>
        <td class="parametervalue">15.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRP_NS</td>
        <td class="parametervalue">15.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TREFI_US</td>
        <td class="parametervalue">7.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRFC_NS</td>
        <td class="parametervalue">75.0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_TCCD_NS</td>
        <td class="parametervalue">2.5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TWR_NS</td>
        <td class="parametervalue">15.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TWTR</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TFAW_NS</td>
        <td class="parametervalue">37.5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRRD_NS</td>
        <td class="parametervalue">7.5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRTP_NS</td>
        <td class="parametervalue">7.5</td>
       </tr>
       <tr>
        <td class="parametername">EXPORT_CSR_PORT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CSR_ADDR_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">CSR_DATA_WIDTH</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">CSR_BE_WIDTH</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_BE_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_SYMBOL_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">AVL_SIZE_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HR_DDIO_OUT_HAS_THREE_REGS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_CSR_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DWIDTH_RATIO</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ODT_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_OUTPUT_REGD</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_MULTIPLES_40_72</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_MULTIPLES_16_24_40_72</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_REGDIMM_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">LOW_LATENCY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CONTROLLER_TYPE</td>
        <td class="parametervalue">nextgen_v110</td>
       </tr>
       <tr>
        <td class="parametername">CTL_TBP_NUM</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CTL_USR_REFRESH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_SELF_REFRESH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_TYPE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_INTERFACE_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_BURST_LENGTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_ADDR_ORDER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_PDN_EXIT_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_POWER_SAVING_EXIT_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_MEM_CLK_ENTRY_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_SELF_RFSH_EXIT_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_PORT_WIDTH_WRITE_ODT_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_PORT_WIDTH_READ_ODT_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_WRITE_ODT_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_READ_ODT_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">LOCAL_CS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_CLR_INTR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_ENABLE_NO_DM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ADD_LAT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_BURST_INTERRUPT_INT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_BURST_TERMINATE_INT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CFG_ERRCMD_FIFO_REG</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_ECC_DECODER_REG</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_WDATA_PATH_LATENCY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CFG_STARVE_LIMIT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_AUTO_PD_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_PORT</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_0</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_1</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_2</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_3</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_4</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_5</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">ALLOCATED_RFIFO_PORT</td>
        <td class="parametervalue">0,None,None,None,None,None</td>
       </tr>
       <tr>
        <td class="parametername">ALLOCATED_WFIFO_PORT</td>
        <td class="parametervalue">0,None,None,None,None,None</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ATTR_COUNTER_ONE_RESET</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ATTR_COUNTER_ZERO_RESET</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ATTR_STATIC_CONFIG_VALID</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CAL_REQ</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_BURST_LENGTH</td>
        <td class="parametervalue">BL_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_INTERFACE_WIDTH</td>
        <td class="parametervalue">DWIDTH_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_SELF_RFSH_EXIT_CYCLES</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_STARVE_LIMIT</td>
        <td class="parametervalue">STARVE_LIMIT_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_TYPE</td>
        <td class="parametervalue">DDR3</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLR_INTR</td>
        <td class="parametervalue">NO_CLR_INTR</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_0</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_1</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_2</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_3</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_4</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_5</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT0_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT0_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT0_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT0_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT1_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT1_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT1_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT1_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT2_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT2_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT2_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT2_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT3_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT3_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT3_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT3_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT4_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT4_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT4_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT4_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT5_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT5_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT5_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT5_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_ADDR_ORDER</td>
        <td class="parametervalue">CHIP_BANK_ROW_COL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_ECC_ENABLED</td>
        <td class="parametervalue">CTL_ECC_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_ECC_RMW_ENABLED</td>
        <td class="parametervalue">CTL_ECC_RMW_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_REGDIMM_ENABLED</td>
        <td class="parametervalue">REGDIMM_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_USR_REFRESH</td>
        <td class="parametervalue">CTL_USR_REFRESH_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTRL_WIDTH</td>
        <td class="parametervalue">DATA_WIDTH_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_DELAY_BONDING</td>
        <td class="parametervalue">BONDING_LATENCY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_DFX_BYPASS_ENABLE</td>
        <td class="parametervalue">DFX_BYPASS_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_DISABLE_MERGING</td>
        <td class="parametervalue">MERGING_ENABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ECC_DQ_WIDTH</td>
        <td class="parametervalue">ECC_DQ_WIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_ATPG</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_WRAPBACK</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_DQS_TRACKING</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_ECC_CODE_OVERWRITES</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_FAST_EXIT_PPD</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_INTR</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_NO_DM</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_PIPELINEGLOBAL</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_GANGED_ARF</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_GEN_DBE</td>
        <td class="parametervalue">GEN_DBE_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_GEN_SBE</td>
        <td class="parametervalue">GEN_SBE_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_INC_SYNC</td>
        <td class="parametervalue">FIFO_SET_2</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_LOCAL_IF_CS_WIDTH</td>
        <td class="parametervalue">ADDR_WIDTH_2</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MASK_CORR_DROPPED_INTR</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MASK_DBE_INTR</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MASK_SBE_INTR</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_AL</td>
        <td class="parametervalue">AL_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_BANKADDR_WIDTH</td>
        <td class="parametervalue">ADDR_WIDTH_3</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_BURSTLENGTH</td>
        <td class="parametervalue">MEM_IF_BURSTLENGTH_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_COLADDR_WIDTH</td>
        <td class="parametervalue">ADDR_WIDTH_12</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_CS_PER_RANK</td>
        <td class="parametervalue">MEM_IF_CS_PER_RANK_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_CS_WIDTH</td>
        <td class="parametervalue">MEM_IF_CS_WIDTH_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_DQ_PER_CHIP</td>
        <td class="parametervalue">MEM_IF_DQ_PER_CHIP_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_DQS_WIDTH</td>
        <td class="parametervalue">DQS_WIDTH_4</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_DWIDTH</td>
        <td class="parametervalue">MEM_IF_DWIDTH_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_MEMTYPE</td>
        <td class="parametervalue">DDR3_SDRAM</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_ROWADDR_WIDTH</td>
        <td class="parametervalue">ADDR_WIDTH_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_SPEEDBIN</td>
        <td class="parametervalue">DDR3_1066_6_6_6</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TCCD</td>
        <td class="parametervalue">TCCD_4</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TCL</td>
        <td class="parametervalue">TCL_6</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TCWL</td>
        <td class="parametervalue">TCWL_5</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TFAW</td>
        <td class="parametervalue">TFAW_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TMRD</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRAS</td>
        <td class="parametervalue">TRAS_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRC</td>
        <td class="parametervalue">TRC_22</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRCD</td>
        <td class="parametervalue">TRCD_6</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRP</td>
        <td class="parametervalue">TRP_6</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRRD</td>
        <td class="parametervalue">TRRD_4</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRTP</td>
        <td class="parametervalue">TRTP_4</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TWR</td>
        <td class="parametervalue">TWR_6</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TWTR</td>
        <td class="parametervalue">TWTR_4</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MMR_CFG_MEM_BL</td>
        <td class="parametervalue">MP_BL_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_OUTPUT_REGD</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PDN_EXIT_CYCLES</td>
        <td class="parametervalue">SLOW_EXIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT0_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT1_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT2_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT3_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT4_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT5_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_0</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_1</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_2</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_3</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_4</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_5</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_0</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_1</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_2</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_3</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_4</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_5</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_FIFO_IN_USE_0</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_FIFO_IN_USE_1</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_FIFO_IN_USE_2</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_FIFO_IN_USE_3</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_0</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_1</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_2</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_3</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_4</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_5</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_READ_ODT_CHIP</td>
        <td class="parametervalue">ODT_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_REORDER_DATA</td>
        <td class="parametervalue">DATA_REORDERING</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RFIFO0_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RFIFO1_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RFIFO2_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RFIFO3_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SINGLE_READY_0</td>
        <td class="parametervalue">CONCATENATE_RDY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SINGLE_READY_1</td>
        <td class="parametervalue">CONCATENATE_RDY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SINGLE_READY_2</td>
        <td class="parametervalue">CONCATENATE_RDY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SINGLE_READY_3</td>
        <td class="parametervalue">CONCATENATE_RDY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_0</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_1</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_2</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_3</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_4</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_5</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_TEST_MODE</td>
        <td class="parametervalue">NORMAL_MODE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_0</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_1</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_2</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_3</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_4</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_5</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_0</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_1</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_2</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_3</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_4</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_5</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USE_ALMOST_EMPTY_0</td>
        <td class="parametervalue">EMPTY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USE_ALMOST_EMPTY_1</td>
        <td class="parametervalue">EMPTY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USE_ALMOST_EMPTY_2</td>
        <td class="parametervalue">EMPTY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USE_ALMOST_EMPTY_3</td>
        <td class="parametervalue">EMPTY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_ECC_EN</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_0</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_1</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_2</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_3</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_4</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_5</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO0_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO0_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO1_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO1_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO2_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO2_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO3_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO3_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_0</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_1</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_2</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_3</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_4</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_5</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_FIFO_IN_USE_0</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_FIFO_IN_USE_1</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_FIFO_IN_USE_2</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_FIFO_IN_USE_3</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_0</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_1</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_2</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_3</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_4</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_5</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WRITE_ODT_CHIP</td>
        <td class="parametervalue">ODT_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">INTG_MEM_AUTO_PD_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ACT_TO_ACT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ACT_TO_ACT_DIFF_BANK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ACT_TO_PCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ACT_TO_RDWR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ARF_PERIOD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ARF_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_FOUR_ACT_TO_ACT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_PCH_ALL_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_PCH_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_PDN_PERIOD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_PDN_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_AP_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_PCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_RD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_RD_DIFF_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_WR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_WR_BC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_WR_DIFF_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_SRF_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_SRF_TO_ZQ_CAL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_AP_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_PCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_RD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_RD_BC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_RD_DIFF_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_WR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_WR_DIFF_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_MEM_IF_TREFI</td>
        <td class="parametervalue">3120</td>
       </tr>
       <tr>
        <td class="parametername">INTG_MEM_IF_TRFC</td>
        <td class="parametervalue">34</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_COUNTER_ONE_MASK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_COUNTER_ONE_MATCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_COUNTER_ZERO_MASK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_COUNTER_ZERO_MATCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_DEBUG_SELECT_BYTE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_POWER_SAVING_EXIT_CYCLES</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">INTG_MEM_CLK_ENTRY_CYCLES</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BURST_INTERRUPT</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BURST_TERMINATE</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_0_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_0_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_0</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_0</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT0_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT0_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT0_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT0_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_0</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_0</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_0</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_0</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_0</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_0</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_1_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_1_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_1</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_1</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT1_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT1_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT1_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT1_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_1</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_1</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_1</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_1</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_1</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_1</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_2_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_2_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_2</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_2</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT2_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT2_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT2_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT2_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_2</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_2</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_2</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_2</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_2</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_2</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_3_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_3_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_3</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_3</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT3_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT3_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT3_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT3_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_3</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_3</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_3</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_3</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_3</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_3</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_4_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_4_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_4</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_4</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT4_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT4_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT4_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT4_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_4</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_4</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_4</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_4</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_4</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_4</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_5_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_5_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_5</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_5</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT5_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT5_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT5_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT5_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_5</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_5</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_5</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_5</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_5</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_5</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RFIFO0_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WFIFO0_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RFIFO1_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WFIFO1_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RFIFO2_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WFIFO2_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RFIFO3_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WFIFO3_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CONTINUE_AFTER_CAL_FAIL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MAX10_CFG</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">POWER_OF_TWO_BUS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">SOPC_COMPAT_RESET</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AVL_MAX_SIZE</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">BYTE_ENABLE</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_CTRL_AVALON_INTERFACE</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">CTL_DEEP_POWERDN_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_SELF_REFRESH_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_POWERDN_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_PD_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_USR_REFRESH_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_AUTOPCH_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ZQCAL_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ADDR_ORDER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_LOOK_AHEAD_DEPTH</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CONTROLLER_LATENCY</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CFG_REORDER_DATA</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">STARVE_LIMIT</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CSR_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CSR_CONNECTION</td>
        <td class="parametervalue">INTERNAL_JTAG</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_HRB_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_AUTO_CORRECTION_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MULTICAST_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_DYNAMIC_BANK_ALLOCATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_DYNAMIC_BANK_NUM</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">DEBUG_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_BURST_MERGE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_BURST_INTERRUPT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_BURST_TERMINATE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">LOCAL_ID_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">RDBUFFER_ADDR_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">WRBUFFER_ADDR_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">MAX_PENDING_WR_CMD</td>
        <td class="parametervalue">16</td>
       </tr>
       <tr>
        <td class="parametername">MAX_PENDING_RD_CMD</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">USE_MM_ADAPTOR</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">USE_AXI_ADAPTOR</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HCX_COMPAT_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CMD_QUEUE_DEPTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CSR_READ_ONLY</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CFG_DATA_REORDERING_TYPE</td>
        <td class="parametervalue">INTER_BANK</td>
       </tr>
       <tr>
        <td class="parametername">NUM_OF_PORTS</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_BONDING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_USER_ECC</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT</td>
        <td class="parametervalue">32,32,32,32,32,32</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT</td>
        <td class="parametervalue">1,1,1,1,1,1</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT</td>
        <td class="parametervalue">0,0,0,0,0,0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT</td>
        <td class="parametervalue">Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional</td>
       </tr>
       <tr>
        <td class="parametername">CORE_PERIPHERY_DUAL_CLOCK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_DR_CLK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DLL_USE_DR_CLK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_2X_FF</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DUAL_WRITE_CLOCK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">GENERIC_PLL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_HARD_READ_FIFO</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">READ_FIFO_HALF_RATE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MASTER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DLL_MASTER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PHY_VERSION_NUMBER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NIOS_OCI</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_EMIT_JTAG_MASTER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NIOS_JTAG_UART</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NIOS_PRINTF_OUTPUT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_LARGE_RW_MGR_DI_BUFFER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_EMIT_BFM_MASTER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_SEQUENCER_TCL_DEBUG_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_SEQUENCER_MARGINING_ON_BY_DEFAULT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_MAX_SIZE_SEQ_MEM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MAKE_INTERNAL_NIOS_VISIBLE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DEPLOY_SEQUENCER_SW_FILES_FOR_DEBUG</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_CSR_SOFT_RESET_REQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DUPLICATE_PLL_FOR_PHY_CLK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MAX_LATENCY_COUNT_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">READ_VALID_FIFO_SIZE</td>
        <td class="parametervalue">16</td>
       </tr>
       <tr>
        <td class="parametername">EXTRA_VFIFO_SHIFT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">TB_MEM_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TB_RATE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">TB_MEM_IF_DQ_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">TB_MEM_IF_READ_DQS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">TB_PLL_DLL_MASTER</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">FAST_SIM_CALIBRATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ</td>
        <td class="parametervalue">125.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_NS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_PS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_CACHE_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_PARAM_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_MIN_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_MAX_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_MIN_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_MAX_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">SPEED_GRADE_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">IS_ES_DEVICE_CACHE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">RATE_CACHE</td>
        <td class="parametervalue">Unknown</td>
       </tr>
       <tr>
        <td class="parametername">HCX_COMPAT_MODE_CACHE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY_CACHE</td>
        <td class="parametervalue">Unknown</td>
       </tr>
       <tr>
        <td class="parametername">COMMAND_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CK_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">P2C_READ_CLOCK_ADD_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">C2P_WRITE_CLOCK_ADD_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">ACV_PHY_CLK_ADD_FR_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">SEQUENCER_TYPE_CACHE</td>
        <td class="parametervalue">Unknown</td>
       </tr>
       <tr>
        <td class="parametername">USE_MEM_CLK_FREQ_CACHE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CLK_CACHE_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CLK_PARAM_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_EXTRA_REPORTING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">NUM_EXTRA_REPORT_PATH</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_ISS_PROBES</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CALIB_REG_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">USE_SEQUENCER_BFM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PLL_SHARING_MODE</td>
        <td class="parametervalue">None</td>
       </tr>
       <tr>
        <td class="parametername">NUM_PLL_SHARING_INTERFACES</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">EXPORT_AFI_HALF_CLK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ABSTRACT_REAL_COMPARE_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">INCLUDE_BOARD_DELAY_MODEL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">INCLUDE_MULTIRANK_BOARD_DELAY_MODEL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_FAKE_PHY_INTERNAL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_FAKE_PHY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_MAX_LATENCY_COUNT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">USE_ALL_AFI_PHASES_FOR_COMMAND_ISSUE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NON_DESTRUCTIVE_CALIB</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FIX_READ_LATENCY</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">USE_USER_RDIMM_VALUE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_DELAY_CHAIN_WRITE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TRACKING_ERROR_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TRACKING_WATCH_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MARGIN_VARIATION_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_USER_ADD_0</td>
        <td class="parametervalue">0_0000_0000_0000</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_USER_ADD_1</td>
        <td class="parametervalue">0_0000_0000_1000</td>
       </tr>
       <tr>
        <td class="parametername">TREFI</td>
        <td class="parametervalue">35100</td>
       </tr>
       <tr>
        <td class="parametername">REFRESH_INTERVAL</td>
        <td class="parametervalue">15000</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NON_DES_CAL_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TRFC</td>
        <td class="parametervalue">350</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NON_DES_CAL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">EXTRA_SETTINGS</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">MEM_DEVICE</td>
        <td class="parametervalue">MISSING_MODEL</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_SYNTHESIS_LANGUAGE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">NUM_SUBGROUP_PER_READ_DQS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">QVLD_EXTRA_FLOP_STAGES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">QVLD_WR_ADDRESS_OFFSET</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MAX_WRITE_LATENCY_COUNT_WIDTH</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">NUM_WRITE_PATH_FLOP_STAGES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">NUM_AC_FR_CYCLE_SHIFTS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">FORCED_NUM_WRITE_FR_CYCLE_SHIFTS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">NUM_WRITE_FR_CYCLE_SHIFTS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PERFORM_READ_AFTER_WRITE_CALIBRATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">SEQ_BURST_COUNT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VCALIB_COUNT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_PHASE_COUNTER_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DQS_DELAY_CHAIN_PHASE_SETTING</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">DQS_PHASE_SHIFT</td>
        <td class="parametervalue">9000</td>
       </tr>
       <tr>
        <td class="parametername">DELAYED_CLOCK_PHASE_SETTING</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQS_IN_RESERVE</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQS_OUT_RESERVE</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQ_OUT_RESERVE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_DM_OUT_RESERVE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQS_EN_DELAY_OFFSET</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQS_EN_PHASE_MAX</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQDQS_OUT_PHASE_MAX</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_SHIFT_DQS_EN_WHEN_SHIFT_DQS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_NS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_PS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">CALIB_LFIFO_OFFSET</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">CALIB_VFIFO_OFFSET</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_PER_OPA_TAP</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_PER_DCHAIN_TAP</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_PER_DQS_EN_DCHAIN_TAP</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">DQS_EN_DELAY_MAX</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">DQS_IN_DELAY_MAX</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">IO_IN_DELAY_MAX</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">IO_OUT1_DELAY_MAX</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">IO_OUT2_DELAY_MAX</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">IO_STANDARD</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">VFIFO_AS_SHIFT_REG</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">SEQUENCER_TYPE</td>
        <td class="parametervalue">NIOS</td>
       </tr>
       <tr>
        <td class="parametername">NIOS_HEX_FILE_LOCATION</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">ADVERTIZE_SEQUENCER_SW_BUILD_FILES</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">NEGATIVE_WRITE_CK_PHASE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_T_WL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_T_RL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PHY_CLKBUF</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_LDC_AS_LOW_SKEW_CLOCK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_LDC_FOR_ADDR_CMD</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_LDC_MEM_CK_ADJUSTMENT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CK_LDC_ADJUSTMENT_THRESHOLD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">LDC_FOR_ADDR_CMD_MEM_CK_CPS_INVERT</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">LDC_FOR_ADDR_CMD_MEM_CK_CPS_PHASE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">FORCED_NON_LDC_ADDR_CMD_MEM_CK_INVERT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">NON_LDC_ADDR_CMD_MEM_CK_INVERT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REGISTER_C2P</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">EARLY_ADDR_CMD_CLK_TRANSFER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MAX10_RTL_SEQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PHY_ONLY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">SEQ_MODE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">ADVANCED_CK_PHASES</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">COMMAND_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CK_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">P2C_READ_CLOCK_ADD_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">C2P_WRITE_CLOCK_ADD_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">ACV_PHY_CLK_ADD_FR_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_VOLTAGE</td>
        <td class="parametervalue">1.5V DDR3</td>
       </tr>
       <tr>
        <td class="parametername">PLL_LOCATION</td>
        <td class="parametervalue">Top_Bottom</td>
       </tr>
       <tr>
        <td class="parametername">SKIP_MEM_INIT</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">READ_DQ_DQS_CLOCK_SOURCE</td>
        <td class="parametervalue">INVERTED_DQS_BUS</td>
       </tr>
       <tr>
        <td class="parametername">DQ_INPUT_REG_USE_CLKN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DQS_DQSN_MODE</td>
        <td class="parametervalue">DIFFERENTIAL</td>
       </tr>
       <tr>
        <td class="parametername">AFI_DEBUG_INFO_WIDTH</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">CALIBRATION_MODE</td>
        <td class="parametervalue">Skip</td>
       </tr>
       <tr>
        <td class="parametername">NIOS_ROM_DATA_WIDTH</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">NIOS_ROM_ADDRESS_WIDTH</td>
        <td class="parametervalue">13</td>
       </tr>
       <tr>
        <td class="parametername">READ_FIFO_SIZE</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">PHY_CSR_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PHY_CSR_CONNECTION</td>
        <td class="parametervalue">INTERNAL_JTAG</td>
       </tr>
       <tr>
        <td class="parametername">USER_DEBUG_LEVEL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DERATE_METHOD</td>
        <td class="parametervalue">AUTO</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_CK_CKN_SLEW_RATE</td>
        <td class="parametervalue">2.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_SLEW_RATE</td>
        <td class="parametervalue">1.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQS_DQSN_SLEW_RATE</td>
        <td class="parametervalue">2.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_SLEW_RATE</td>
        <td class="parametervalue">1.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_CK_CKN_SLEW_RATE_APPLIED</td>
        <td class="parametervalue">2.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_SLEW_RATE_APPLIED</td>
        <td class="parametervalue">1.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQS_DQSN_SLEW_RATE_APPLIED</td>
        <td class="parametervalue">2.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_SLEW_RATE_APPLIED</td>
        <td class="parametervalue">1.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TIS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TIH</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TDS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TDH</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TIS_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TIH_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TDS_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TDH_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_ISI_METHOD</td>
        <td class="parametervalue">AUTO</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_EYE_REDUCTION_SU</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_EYE_REDUCTION_H</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_EYE_REDUCTION</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DELTA_DQS_ARRIVAL_TIME</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_READ_DQ_EYE_REDUCTION</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DELTA_READ_DQS_ARRIVAL_TIME</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_EYE_REDUCTION_SU_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_EYE_REDUCTION_H_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_EYE_REDUCTION_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DELTA_DQS_ARRIVAL_TIME_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_READ_DQ_EYE_REDUCTION_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DELTA_READ_DQS_ARRIVAL_TIME_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PACKAGE_DESKEW</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AC_PACKAGE_DESKEW</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_MAX_CK_DELAY</td>
        <td class="parametervalue">0.6</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_MAX_DQS_DELAY</td>
        <td class="parametervalue">0.6</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_CKDQS_DIMM_MIN</td>
        <td class="parametervalue">-0.01</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_CKDQS_DIMM_MIN_APPLIED</td>
        <td class="parametervalue">-0.01</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_CKDQS_DIMM_MAX</td>
        <td class="parametervalue">0.01</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_CKDQS_DIMM_MAX_APPLIED</td>
        <td class="parametervalue">0.01</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_BETWEEN_DIMMS</td>
        <td class="parametervalue">0.05</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_BETWEEN_DIMMS_APPLIED</td>
        <td class="parametervalue">0.05</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_WITHIN_DQS</td>
        <td class="parametervalue">0.02</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_BETWEEN_DQS</td>
        <td class="parametervalue">0.02</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_TO_DQS_SKEW</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_SKEW</td>
        <td class="parametervalue">0.02</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_TO_CK_SKEW</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">RATE</td>
        <td class="parametervalue">Full</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_FREQ</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">USE_MEM_CLK_FREQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_DQS_TRACKING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_DQS_TRACKING</td>
        <td class="parametervalue">AUTO</td>
       </tr>
       <tr>
        <td class="parametername">USE_HPS_DQS_TRACKING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TRK_PARALLEL_SCC_LOAD</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_SHADOW_REGS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_SHADOW_REGS</td>
        <td class="parametervalue">AUTO</td>
       </tr>
       <tr>
        <td class="parametername">DQ_DDR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">ADDR_CMD_DDR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_RATE_RATIO</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_RATE_RATIO</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">ADDR_RATE_RATIO</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_ADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_BANKADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_CONTROL_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_CS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_CLK_EN_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_DM_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_DQ_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_ODT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_WRITE_DQS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_RLAT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_WLAT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_RRANK_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_WRANK_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_CLK_PAIR_COUNT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MRS_MIRROR_PING_PONG_ATSO</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">SYS_INFO_DEVICE_FAMILY</td>
        <td class="parametervalue">CYCLONEV</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">DEVICE_FAMILY</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PRE_V_SERIES_FAMILY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY_CACHE_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY_PARAM_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">DEVICE_FAMILY_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">SPEED_GRADE</td>
        <td class="parametervalue">7</td>
       </tr>
       <tr>
        <td class="parametername">IS_ES_DEVICE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DISABLE_CHILD_MESSAGING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HARD_PHY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HARD_EMIF</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">HHP_HPS</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">HHP_HPS_VERIFICATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HHP_HPS_SIMULATION</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">HPS_PROTOCOL</td>
        <td class="parametervalue">DDR3</td>
       </tr>
       <tr>
        <td class="parametername">CUT_NEW_FAMILY_TIMING</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_EXPORT_SEQ_DEBUG_BRIDGE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CORE_DEBUG_CONNECTION</td>
        <td class="parametervalue">EXPORT</td>
       </tr>
       <tr>
        <td class="parametername">ADD_EXTERNAL_SEQ_DEBUG_NIOS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ED_EXPORT_SEQ_DEBUG</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ADD_EFFICIENCY_MONITOR</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_ABS_RAM_MEM_INIT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_ABS_RAM_INTERNAL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_ABSTRACT_RAM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ABS_RAM_MEM_INIT_FILENAME</td>
        <td class="parametervalue">meminit</td>
       </tr>
       <tr>
        <td class="parametername">DLL_DELAY_CTRL_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">DLL_OFFSET_CTRL_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_BUFFER_MODE</td>
        <td class="parametervalue">HIGH</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_CHAIN_LENGTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">DLL_SHARING_MODE</td>
        <td class="parametervalue">None</td>
       </tr>
       <tr>
        <td class="parametername">NUM_DLL_SHARING_INTERFACES</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">OCT_TERM_CONTROL_WIDTH</td>
        <td class="parametervalue">14</td>
       </tr>
       <tr>
        <td class="parametername">OCT_SHARING_MODE</td>
        <td class="parametervalue">None</td>
       </tr>
       <tr>
        <td class="parametername">NUM_OCT_SHARING_INTERFACES</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">interfaceDefinition</td>
        <td class="parametervalue">instances {boot_from_fpga {entity_name cyclonev_hps_interface_boot_from_fpga location HPSINTERFACEBOOTFROMFPGA_X32_Y46_N111 signal_widths {boot_from_fpga_ready 1 boot_from_fpga_on_failure 1 bsel_en 1 csel_en 1 csel 2 bsel 3} signal_terminations {boot_from_fpga_ready {0:0 0} boot_from_fpga_on_failure {0:0 0} bsel_en {0:0 0} csel_en {0:0 0} csel {1:0 1} bsel {2:0 1}} parameters {} signal_default_terminations {boot_from_fpga_ready 0 boot_from_fpga_on_failure 0 bsel_en 0 csel_en 0 csel 0 bsel 0}} tpiu {entity_name cyclonev_hps_interface_tpiu_trace location HPSINTERFACETPIUTRACE_X32_Y18_N111 signal_widths {traceclk_ctl 1} parameters {} signal_terminations {traceclk_ctl {0:0 1}} signal_default_terminations {traceclk_ctl 1}} clocks_resets {entity_name cyclonev_hps_interface_clocks_resets location HPSINTERFACECLOCKSRESETS_X32_Y50_N111 signal_widths {f2h_warm_rst_req_n 1 f2h_pending_rst_ack 1 f2h_sdram_ref_clk 1 f2h_dbg_rst_req_n 1 f2h_cold_rst_req_n 1 f2h_periph_ref_clk 1} signal_terminations {f2h_warm_rst_req_n {0:0 1} f2h_pending_rst_ack {0:0 1} f2h_sdram_ref_clk {} f2h_dbg_rst_req_n {0:0 1} f2h_cold_rst_req_n {0:0 1} f2h_periph_ref_clk {}} parameters {} signal_default_terminations {f2h_warm_rst_req_n 1 f2h_pending_rst_ack 1 f2h_sdram_ref_clk 0 f2h_dbg_rst_req_n 1 f2h_cold_rst_req_n 1 f2h_periph_ref_clk 0}} @orderednames {clocks_resets debug_apb tpiu boot_from_fpga} debug_apb {entity_name cyclonev_hps_interface_dbg_apb location HPSINTERFACEDBGAPB_X32_Y53_N111 signal_widths {DBG_APB_DISABLE 1 P_CLK_EN 1} signal_terminations {DBG_APB_DISABLE {0:0 0} P_CLK_EN {0:0 0}} parameters {} signal_default_terminations {DBG_APB_DISABLE 0 P_CLK_EN 0}}} raw_assigns {} wire_sim_style {} interface_sim_style {} constraints {} properties {} bfm_types {} intermediate_wire_count 0 wires_to_fragments {} interfaces {@orderednames h2f_reset h2f_reset {properties {associatedResetSinks none synchronousEdges none} type reset direction Output signals {@orderednames h2f_rst_n h2f_rst_n {fragments {} properties {} internal_name h2f_rst_n width 1 instance_name clocks_resets direction Output role reset_n}}}} raw_assign_sim_style {}</td>
       </tr>
       <tr>
        <td class="parametername">qipEntries</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">ignoreSimulation</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">hps_parameter_map</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">device</td>
        <td class="parametervalue">5CSEMA4U23C6</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_hps_io"> </a>
  <div>
   <hr/>
   <h2>hps_0_hps_io</h2>altera_hps_io v17.1
   <br/>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">border_description</td>
        <td class="parametervalue">instances {hps_sdram_inst {entity_name hps_sdram location {} signal_widths {} signal_terminations {} parameters {} signal_default_terminations {}} @orderednames hps_sdram_inst} raw_assigns {} wire_sim_style {} interface_sim_style {} constraints {} properties {SUPPRESS_SDRAM_SYNTH 0 GENERATE_ISW 1} bfm_types {} intermediate_wire_count 0 wires_to_fragments {} interfaces {@orderednames memory memory {properties {} type conduit direction end signals {@orderednames {mem_a mem_ba mem_ck mem_ck_n mem_cke mem_cs_n mem_ras_n mem_cas_n mem_we_n mem_reset_n mem_dq mem_dqs mem_dqs_n mem_odt mem_dm oct_rzqin} mem_a {fragments {} properties {} internal_name mem_a width 13 instance_name hps_sdram_inst direction Output role mem_a} mem_ba {fragments {} properties {} internal_name mem_ba width 3 instance_name hps_sdram_inst direction Output role mem_ba} mem_ck {fragments {} properties {} internal_name mem_ck width 1 instance_name hps_sdram_inst direction Output role mem_ck} mem_ck_n {fragments {} properties {} internal_name mem_ck_n width 1 instance_name hps_sdram_inst direction Output role mem_ck_n} mem_cke {fragments {} properties {} internal_name mem_cke width 1 instance_name hps_sdram_inst direction Output role mem_cke} mem_cs_n {fragments {} properties {} internal_name mem_cs_n width 1 instance_name hps_sdram_inst direction Output role mem_cs_n} mem_ras_n {fragments {} properties {} internal_name mem_ras_n width 1 instance_name hps_sdram_inst direction Output role mem_ras_n} mem_cas_n {fragments {} properties {} internal_name mem_cas_n width 1 instance_name hps_sdram_inst direction Output role mem_cas_n} mem_we_n {fragments {} properties {} internal_name mem_we_n width 1 instance_name hps_sdram_inst direction Output role mem_we_n} mem_reset_n {fragments {} properties {} internal_name mem_reset_n width 1 instance_name hps_sdram_inst direction Output role mem_reset_n} mem_dq {fragments {} properties {} internal_name mem_dq width 8 instance_name hps_sdram_inst direction Bidir role mem_dq} mem_dqs {fragments {} properties {} internal_name mem_dqs width 1 instance_name hps_sdram_inst direction Bidir role mem_dqs} mem_dqs_n {fragments {} properties {} internal_name mem_dqs_n width 1 instance_name hps_sdram_inst direction Bidir role mem_dqs_n} mem_odt {fragments {} properties {} internal_name mem_odt width 1 instance_name hps_sdram_inst direction Output role mem_odt} mem_dm {fragments {} properties {} internal_name mem_dm width 1 instance_name hps_sdram_inst direction Output role mem_dm} oct_rzqin {fragments {} properties {} internal_name oct_rzqin width 1 instance_name hps_sdram_inst direction Input role oct_rzqin}}}} raw_assign_sim_style {}</td>
       </tr>
       <tr>
        <td class="parametername">hps_parameter_map</td>
        <td class="parametervalue">AC_PACKAGE_DESKEW false MAX_PENDING_WR_CMD 16 MEM_BANKADDR_WIDTH 3 FORCE_SHADOW_REGS AUTO F2H_SDRAM2_CLOCK_FREQ 100 JAVA_TRACE_DATA {TRACE {signals_by_mode {HPSx4 {CLK D0 D1 D2 D3} HPS {CLK D0 D1 D2 D3 D4 D5 D6 D7}} pin_sets {{HPS I/O Set 0} {locations {PIN_P14A0T PIN_P14B0T PIN_P14A1T PIN_P14B1T PIN_P15A0T PIN_P15B0T PIN_P15A1T PIN_P15B1T PIN_P16A0T} signals {CLK D0 D1 D2 D3 D4 D5 D6 D7} signal_parts {{{} TPIU_TRACE_CLK(0:0) {}} {{} TPIU_TRACE_DATA(0:0) {}} {{} TPIU_TRACE_DATA(1:1) {}} {{} TPIU_TRACE_DATA(2:2) {}} {{} TPIU_TRACE_DATA(3:3) {}} {{} TPIU_TRACE_DATA(4:4) {}} {{} TPIU_TRACE_DATA(5:5) {}} {{} TPIU_TRACE_DATA(6:6) {}} {{} TPIU_TRACE_DATA(7:7) {}}} mux_selects {3 3 3 3 3 3 3 3 3} valid_modes {HPSx4 HPS} pins {GENERALIO0 GENERALIO1 GENERALIO2 GENERALIO3 GENERALIO4 GENERALIO5 GENERALIO6 GENERALIO7 GENERALIO8}}}}} main_pll_c3_auto 511 PLL_CLK_PARAM_VALID false AUTO_POWERDN_EN false VECT_ATTR_COUNTER_ZERO_MATCH 0 ENABLE_BURST_MERGE false VECT_ATTR_COUNTER_ONE_MASK 0 MEM_IF_CK_WIDTH 1 FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC0_RX_CLK_IN 100 PLL_AFI_HALF_CLK_PHASE_PS_CACHE 0 CV_PORT_2_CONNECT_TO_AV_PORT 2 CTL_CSR_ENABLED false MEM_IF_LRDIMM_RM 0 qspi_clk_source 1 ENUM_WFIFO1_RDY_ALMOST_FULL NOT_FULL ENUM_RFIFO1_CPORT_MAP CMD_PORT_0 MEM_CLK_MAX_NS 2.5 QSPI_Mode N/A CSR_BE_WIDTH 1 CV_ENUM_CPORT2_RFIFO_MAP FIFO_0 periph_base_clk_hz 100000000 AVL_SYMBOL_WIDTH 8 S2FINTERRUPT_WATCHDOG_Enable false MEM_NUMBER_OF_RANKS_PER_DEVICE 1 ENUM_CPORT0_TYPE DISABLE MEM_IF_DQ_WIDTH 8 TIMING_BOARD_DELTA_READ_DQS_ARRIVAL_TIME_APPLIED 0.0 PLL_DR_CLK_MULT 0 F2SDRAM_Name_DERIVED {} PLL_CONFIG_CLK_DIV_PARAM 0 FORCED_NUM_WRITE_FR_CYCLE_SHIFTS 0 CTL_ZQCAL_EN false MEM_IF_WRITE_DQS_WIDTH 1 INTG_EXTRA_CTL_CLK_PCH_TO_VALID 0 CFG_DATA_REORDERING_TYPE INTER_BANK CTL_ENABLE_BURST_INTERRUPT false periph_pll_vco_mhz 2000.0 MEM_TRCD 5 CV_ENUM_CPORT5_WFIFO_MAP FIFO_0 TIMING_BOARD_READ_DQ_EYE_REDUCTION 0.0 SCC_DATA_WIDTH 1 ENUM_MEM_IF_AL AL_0 MR1_DQS 0 MEM_USER_LEVELING_MODE Leveling device_name 5CSEMA4U23C6 HHP_HPS true ENUM_CFG_BURST_LENGTH BL_8 periph_qspi_clk_hz 1953125 CV_ENUM_WFIFO0_CPORT_MAP CMD_PORT_0 CFG_STARVE_LIMIT 10 AV_PORT_1_CONNECT_TO_CV_PORT 1 TIMING_TDQSCKDS 450 FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC1_TX_CLK_IN 100 TIMING_TDSS 0.2 MEM_TRAS 13 TIMING_TDQSCKDM 900 TIMING_TDQSCKDL 1200 ENUM_GANGED_ARF DISABLED ENUM_ENABLE_BURST_INTERRUPT DISABLED S2FINTERRUPT_I2CEMAC_Enable false dbg_base_clk_mhz 50.0 TIMING_TDSH 0.2 S2FINTERRUPT_UART_Enable false PLL_P2C_READ_CLK_PHASE_DEG 0.0 DUAL_WRITE_CLOCK false CV_ENUM_RFIFO3_CPORT_MAP CMD_PORT_0 DEVICE_WIDTH 1 AFI_DQ_WIDTH 16 READ_DQ_DQS_CLOCK_SOURCE INVERTED_DQS_BUS HARD_EMIF true MEM_DEVICE MISSING_MODEL CV_ENUM_PORT4_WIDTH PORT_32_BIT FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_SPIM0_SCLK_OUT 100 desired_can0_clk_hz 100000000 DB_port_pins {i2c_emac0_out_data {0 ic_data_oe} spis1_sclk_in {0 sclk_in} usb1_ulpi_stp {0 ulpi_stp} i2c_emac0_sda {0 ic_data_in_a} can0_rxd {0 can_rxd} nand_adq_in {6 adq_in6 5 adq_in5 4 adq_in4 3 adq_in3 2 adq_in2 1 adq_in1 0 adq_in0 7 adq_in7} i2c1_out_clk {0 ic_clk_oe} emac0_gmii_mdi_i {0 mdi} i2c_emac0_scl {0 ic_clk_in_a} sdmmc_vs_o {0 vs_o} nand_wpbar_out {0 wp_outn} emac1_gmii_mdo_o_e {0 mdo_en} emac0_gmii_mdc_o {0 mdc} i2c_emac1_out_data {0 ic_data_oe} uart0_dtr {0 dtr_n} i2c0_sda {0 ic_data_in_a} spis1_txd {0 txd} usb0_ulpi_nxt {0 ulpi_nxt} qspi_mi3 {0 mi3} qspi_mi2 {0 mi2} spis1_rxd {0 rxd} qspi_mi1 {0 mi1} qspi_mi0 {0 mi0} nand_rebar_out {0 re_outn} i2c0_scl {0 ic_clk_in_a} sdmmc_cdn_i {0 cd_i_n} qspi_n_mo_en {3 n_mo_en3 2 n_mo_en2 1 n_mo_en1 0 n_mo_en0} uart0_out1_n {0 out1_n} emac1_phy_txclk_o {0 tx_clk_o} uart0_dsr {0 dsr_n} sdmmc_cmd_o {0 ccmd_o} spim1_ss_2_n {0 ss_cs2} sdmmc_cmd_i {0 ccmd_i} spis0_ss_in_n {0 ss_in_n} usb0_ulpi_data_out_en {6 ulpi_data_out_en6 5 ulpi_data_out_en5 4 ulpi_data_out_en4 3 ulpi_data_out_en3 2 ulpi_data_out_en2 1 ulpi_data_out_en1 0 ulpi_data_out_en0 7 ulpi_data_out_en7} spim1_ss_0_n {0 ss_cs0} usb1_ulpi_dataout {6 ulpi_dataout6 5 ulpi_dataout5 4 ulpi_dataout4 3 ulpi_dataout3 2 ulpi_dataout2 1 ulpi_dataout1 0 ulpi_dataout0 7 ulpi_dataout7} usb1_ulpi_nxt {0 ulpi_nxt} uart0_ri {0 ri_n} emac1_phy_rxer_i {0 rxer} uart1_dcd {0 dcd_n} nand_cebar_out {3 ce_outn3 2 ce_outn2 1 ce_outn1 0 ce_outn0} emac0_clk_rx_i {0 rx_clk} usb1_ulpi_data_out_en {6 ulpi_data_out_en6 5 ulpi_data_out_en5 4 ulpi_data_out_en4 3 ulpi_data_out_en3 2 ulpi_data_out_en2 1 ulpi_data_out_en1 0 ulpi_data_out_en0 7 ulpi_data_out_en7} nand_adq_out {6 adq_out6 5 adq_out5 4 adq_out4 3 adq_out3 2 adq_out2 1 adq_out1 0 adq_out0 7 adq_out7} emac0_ptp_aux_ts_trig_i {0 ts_trig} spim0_ssi_oe_n {0 ssi_oe_n} usb0_ulpi_datain {6 ulpi_datain6 5 ulpi_datain5 4 ulpi_datain4 3 ulpi_datain3 2 ulpi_datain2 1 ulpi_datain1 0 ulpi_datain0 7 ulpi_datain7} emac0_ptp_pps_o {0 ptp_pps} emac0_phy_txer_o {0 txer} emac0_phy_rxd_i {6 rxd6 5 rxd5 4 rxd4 3 rxd3 2 rxd2 1 rxd1 0 rxd0 7 rxd7} uart1_cts {0 cts_n} emac1_clk_rx_i {0 rx_clk} qspi_mo2_wpn {0 mo2_wpn} emac0_phy_txen_o {0 txen} sdmmc_pwr_ena_o {0 pwer_en_o} emac1_gmii_mdo_o {0 mdo} uart1_txd {0 sout} spim0_ss_3_n {0 ss_cs3} spim1_ssi_oe_n {0 ssi_oe_n} emac0_rst_clk_rx_n_o {0 rst_clk_rx_n_o} spis0_txd {0 txd} qspi_sclk_out {0 sck_out} uart1_rxd {0 sin} emac1_ptp_pps_o {0 ptp_pps} emac1_rst_clk_tx_n_o {0 rst_clk_tx_n_o} spim0_ss_1_n {0 ss_cs1} emac1_phy_rxd_i {6 rxd6 5 rxd5 4 rxd4 3 rxd3 2 rxd2 1 rxd1 0 rxd0 7 rxd7} spis0_rxd {0 rxd} uart1_ri {0 ri_n} usb0_ulpi_dir {0 ulpi_dir} emac1_gmii_mdi_i {0 mdi} uart1_out1_n {0 out1_n} sdmmc_rstn_o {0 rst_out_n} qspi_n_ss_out {3 n_ss_out3 2 n_ss_out2 1 n_ss_out1 0 n_ss_out0} nand_rdy_busy_in {3 rdy_bsy_in3 2 rdy_bsy_in2 1 rdy_bsy_in1 0 rdy_bsy_in0} emac1_gmii_mdc_o {0 mdc} uart0_dcd {0 dcd_n} usb1_ulpi_dir {0 ulpi_dir} emac0_phy_col_i {0 col} sdmmc_data_o {6 cdata_out6 5 cdata_out5 4 cdata_out4 3 cdata_out3 2 cdata_out2 1 cdata_out1 0 cdata_out0 7 cdata_out7} spis1_ss_in_n {0 ss_in_n} sdmmc_data_i {6 cdata_in6 5 cdata_in5 4 cdata_in4 3 cdata_in3 2 cdata_in2 1 cdata_in1 0 cdata_in0 7 cdata_in7} nand_adq_oe {0 adq_oe0} emac0_phy_rxdv_i {0 rxdv} usb1_ulpi_datain {6 ulpi_datain6 5 ulpi_datain5 4 ulpi_datain4 3 ulpi_datain3 2 ulpi_datain2 1 ulpi_datain1 0 ulpi_datain0 7 ulpi_datain7} uart0_cts {0 cts_n} emac0_phy_crs_i {0 crs} emac1_phy_col_i {0 col} i2c_emac0_out_clk {0 ic_clk_oe} spim0_sclk_out {0 sclk_out} i2c0_out_data {0 ic_data_oe} qspi_mo1 {0 mo1} qspi_mo0 {0 mo0} spim0_ss_in_n {0 ss_in_n} spim1_txd {0 txd} uart0_out2_n {0 out2_n} spis0_sclk_in {0 sclk_in} uart0_txd {0 sout} nand_cle_out {0 cle_out} emac0_gmii_mdo_o_e {0 mdo_en} spim1_rxd {0 rxd} emac0_clk_tx_i {0 tx_clk_i} spim1_ss_3_n {0 ss_cs3} i2c0_out_clk {0 ic_clk_oe} uart0_rxd {0 sin} uart1_rts {0 rts_n} spim1_ss_1_n {0 ss_cs1} emac1_phy_crs_i {0 crs} qspi_mo3_hold {0 mo3_hold} can1_txd {0 can_txd} emac1_phy_txer_o {0 txer} usb0_ulpi_clk {0 ulpi_clk} i2c_emac1_sda {0 ic_data_in_a} can1_rxd {0 can_rxd} nand_ale_out {0 ale_out} spim1_sclk_out {0 sclk_out} i2c1_out_data {0 ic_data_oe} emac0_phy_txd_o {6 txd6 5 txd5 4 txd4 3 txd3 2 txd2 1 txd1 0 txd0 7 txd7} emac1_phy_txen_o {0 txen} spis0_ssi_oe_n {0 ssi_oe_n} nand_webar_out {0 we_outn} emac1_clk_tx_i {0 tx_clk_i} i2c_emac1_scl {0 ic_clk_in_a} emac1_ptp_aux_ts_trig_i {0 ts_trig} usb0_ulpi_dataout {6 ulpi_dataout6 5 ulpi_dataout5 4 ulpi_dataout4 3 ulpi_dataout3 2 ulpi_dataout2 1 ulpi_dataout1 0 ulpi_dataout0 7 ulpi_dataout7} usb1_ulpi_clk {0 ulpi_clk} emac0_phy_rxer_i {0 rxer} uart1_dtr {0 dtr_n} i2c1_sda {0 ic_data_in_a} sdmmc_wp_i {0 wp_i} emac1_phy_txd_o {6 txd6 5 txd5 4 txd4 3 txd3 2 txd2 1 txd1 0 txd0 7 txd7} sdmmc_cclk_out {0 cclk_out} spis1_ssi_oe_n {0 ssi_oe_n} sdmmc_card_intn_i {0 card_int_n} i2c1_scl {0 ic_clk_in_a} emac0_phy_txclk_o {0 tx_clk_o} emac1_rst_clk_rx_n_o {0 rst_clk_rx_n_o} spim0_ss_2_n {0 ss_cs2} uart1_dsr {0 dsr_n} spim1_ss_in_n {0 ss_in_n} usb0_ulpi_stp {0 ulpi_stp} emac0_rst_clk_tx_n_o {0 rst_clk_tx_n_o} spim0_ss_0_n {0 ss_cs0} spim0_txd {0 txd} uart1_out2_n {0 out2_n} spim0_rxd {0 rxd} i2c_emac1_out_clk {0 ic_clk_oe} sdmmc_cmd_en {0 ccmd_en} emac1_phy_rxdv_i {0 rxdv} uart0_rts {0 rts_n} emac0_gmii_mdo_o {0 mdo} sdmmc_data_en {6 cdata_out_en6 5 cdata_out_en5 4 cdata_out_en4 3 cdata_out_en3 2 cdata_out_en2 1 cdata_out_en1 0 cdata_out_en0 7 cdata_out_en7} can0_txd {0 can_txd}} PLL_CONFIG_CLK_DIV_CACHE 0 PLL_DR_CLK_PHASE_DEG_SIM 0.0 CONTINUE_AFTER_CAL_FAIL false TIMING_TDQSS 0.25 PACKAGE_DESKEW false TIMING_TDQSQ 120 S2FINTERRUPT_QSPI_Enable false INTG_EXTRA_CTL_CLK_PCH_ALL_TO_VALID 0 MEM_MIRROR_ADDRESSING_DEC 0 CTL_OUTPUT_REGD false BSEL 1 TIMING_BOARD_MAX_DQS_DELAY 0.6 TIMING_TDQSH 0.35 OCT_TERM_CONTROL_WIDTH 16 main_pll_n 0 main_pll_m 63 INTG_EXTRA_CTL_CLK_PDN_PERIOD 0 CV_ENUM_PORT3_WIDTH PORT_32_BIT ENUM_WR_DWIDTH_5 DWIDTH_0 ENUM_WR_DWIDTH_4 DWIDTH_0 ENUM_WR_DWIDTH_3 DWIDTH_0 ENUM_WR_DWIDTH_2 DWIDTH_0 TIMING_BOARD_DQ_TO_DQS_SKEW 0.0 ENUM_WR_DWIDTH_1 DWIDTH_0 PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR_PARAM {} ENUM_WR_DWIDTH_0 DWIDTH_0 PLL_HR_CLK_FREQ 0.0 F2SCLK_PERIPHCLK_Enable false MR1_PASR 0 PLL_ADDR_CMD_CLK_MULT 24 CSEL_EN false MRS_MIRROR_PING_PONG_ATSO false eosc1_clk_mhz 50.0 LOCAL_ID_WIDTH 8 READ_FIFO_HALF_RATE false PLL_LOCATION Top_Bottom MEM_NUMBER_OF_DIMMS 1 AP_MODE_EN 0 desired_emac1_clk_mhz 250.0 PLL_WRITE_CLK_PHASE_PS_PARAM 0 CV_ENUM_PORT2_WIDTH PORT_32_BIT dbg_trace_clk_hz 50000000 ENABLE_CTRL_AVALON_INTERFACE true H2F_TPIU_CLOCK_IN_FREQ 100 BSEL_EN false PHY_ONLY false FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_I2C1_SCL_IN 100 CAN1_Mode N/A IO_IN_DELAY_MAX 31 MR1_DLL 0 Customer_Pin_Name_DERIVED {RGMII0_TX_CLK RGMII0_TXD0 RGMII0_TXD1 RGMII0_TXD2 RGMII0_TXD3 RGMII0_RXD0 RGMII0_MDIO {RGMII0_MDC } RGMII0_RX_CTL RGMII0_TX_CTL RGMII0_RX_CLK RGMII0_RXD1 RGMII0_RXD2 RGMII0_RXD3 NAND_ALE NAND_CE NAND_CLE NAND_RE NAND_RB NAND_DQ0 NAND_DQ1 NAND_DQ2 NAND_DQ3 NAND_DQ4 NAND_DQ5 NAND_DQ6 NAND_DQ7 NAND_WP NAND_WE QSPI_IO0 QSPI_IO1 QSPI_IO2 QSPI_IO3 QSPI_SS0 QSPI_CLK QSPI_SS1 SDMMC_CMD SDMMC_PWREN SDMMC_D0 SDMMC_D1 SDMMC_D4 SDMMC_D5 SDMMC_D6 SDMMC_D7 HPS_GPIO44 SDMMC_CCLK_OUT SDMMC_D2 SDMMC_D3 TRACE_CLK TRACE_D0 TRACE_D1 TRACE_D2 TRACE_D3 TRACE_D4 TRACE_D5 TRACE_D6 TRACE_D7 SPIM0_CLK SPIM0_MOSI SPIM0_MISO SPIM0_SS0 UART0_RX UART0_TX I2C0_SDA I2C0_SCL CAN0_RX CAN0_TX} desired_can0_clk_mhz 100.0 CV_ENUM_PRIORITY_1_5 WEIGHT_0 TIMING_TQHS 300 CV_ENUM_PRIORITY_1_4 WEIGHT_0 CV_ENUM_PRIORITY_1_3 WEIGHT_0 CV_ENUM_PRIORITY_1_2 WEIGHT_0 CV_ENUM_PRIORITY_1_1 WEIGHT_0 PLL_P2C_READ_CLK_FREQ 0.0 CV_ENUM_PRIORITY_1_0 WEIGHT_0 PARSE_FRIENDLY_DEVICE_FAMILY_PARAM_VALID false AFI_RLAT_WIDTH 6 ENABLE_BONDING false MEM_DLL_EN true PLL_AFI_CLK_MULT_PARAM 0 F2SCLK_SDRAMCLK_FREQ 0 CTL_CMD_QUEUE_DEPTH 8 READ_FIFO_SIZE 8 AVL_MAX_SIZE 4 PLL_MEM_CLK_FREQ_SIM_STR_PARAM {} qspi_clk_hz 3613281 desired_l4_mp_clk_hz 100000000 NIOS_HEX_FILE_LOCATION ../ PLL_ADDR_CMD_CLK_MULT_PARAM 0 TIMING_TQH 0.38 PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR_CACHE {2500 ps} ENUM_USER_PRIORITY_5 PRIORITY_1 ENUM_USER_PRIORITY_4 PRIORITY_1 ENUM_USER_PRIORITY_3 PRIORITY_1 ENUM_USER_PRIORITY_2 PRIORITY_1 ENUM_USER_PRIORITY_1 PRIORITY_1 PLL_ADDR_CMD_CLK_FREQ_PARAM 0.0 mpu_periph_clk_mhz 231.25 ENUM_USER_PRIORITY_0 PRIORITY_1 MEM_CLK_PS 3333.0 periph_pll_vco_hz 2000000000 CTL_ECC_CSR_ENABLED false REF_CLK_FREQ_CACHE_VALID true AFI_ADDR_WIDTH 26 PLL_WRITE_CLK_PHASE_PS_CACHE 2500 UART0_PinMuxing Unused sdmmc_clk_hz 1953125 F2SCLK_COLDRST_Enable false PLL_WRITE_CLK_PHASE_DEG_SIM 270.0 periph_pll_c5 9 MEM_IF_CLK_EN_WIDTH 1 periph_pll_c4 4 periph_pll_c3 19 periph_pll_c2 1 periph_pll_c1 3 QSPI_PinMuxing Unused periph_pll_c0 3 INTG_EXTRA_CTL_CLK_RD_TO_WR 2 TIMING_BOARD_DQ_SLEW_RATE 1.0 ENUM_MEM_IF_ROWADDR_WIDTH ADDR_WIDTH_12 ENUM_MEM_IF_DQ_PER_CHIP MEM_IF_DQ_PER_CHIP_8 mpu_l2_ram_clk_hz 462500000 ENUM_CPORT4_RFIFO_MAP FIFO_0 ENUM_USE_ALMOST_EMPTY_3 EMPTY ENUM_USE_ALMOST_EMPTY_2 EMPTY ENUM_USE_ALMOST_EMPTY_1 EMPTY ENUM_USE_ALMOST_EMPTY_0 EMPTY MULTICAST_EN false READ_VALID_FIFO_SIZE 16 CV_ENUM_CPORT2_TYPE DISABLE INTG_EXTRA_CTL_CLK_ARF_PERIOD 0 EMAC1_Mode N/A NIOS_ROM_ADDRESS_WIDTH 13 main_pll_c0_internal 1 main_pll_vco_hz -1094967296 S2FINTERRUPT_CAN_Enable false MEM_CLK_NS 3.333 PLL_AFI_CLK_MULT_CACHE 24 PLL_ADDR_CMD_CLK_DIV 10 NIOS_ROM_DATA_WIDTH 32 ENUM_MEM_IF_TMRD TMRD_4 ENUM_PRIORITY_1_5 WEIGHT_0 periph_pll_c1_auto 511 PLL_MEM_CLK_FREQ_SIM_STR_CACHE {3334 ps} ENUM_PRIORITY_1_4 WEIGHT_0 PLL_ADDR_CMD_CLK_PHASE_DEG_SIM 270.0 ENUM_PRIORITY_1_3 WEIGHT_0 ENUM_PRIORITY_1_2 WEIGHT_0 MR1_QOFF 0 ENUM_PRIORITY_1_1 WEIGHT_0 ENUM_PRIORITY_1_0 WEIGHT_0 PLL_ADDR_CMD_CLK_MULT_CACHE 24 IO_DQ_OUT_RESERVE 0 CFG_BURST_LENGTH 8 MEM_TWR_NS 15.0 TRACKING_WATCH_TEST false FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_SPIM1_SCLK_OUT 100 PLL_ADDR_CMD_CLK_FREQ_CACHE 300.0 JAVA_USB1_DATA {USB1 {signals_by_mode {SDR {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} {SDR without external clock} {D0 D1 D2 D3 D4 D5 D6 D7 STP DIR NXT}} pin_sets {{HPS I/O Set 1} {locations {PIN_P19B0T PIN_P19A1T PIN_P19B1T PIN_P20A0T PIN_P21A0T PIN_P21B0T PIN_P21A1T PIN_P21B1T PIN_P22B1T PIN_P23A0T PIN_P23B0T PIN_P23A1T} signals {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} signal_parts {{USB_ULPI_DATA_I(0:0) USB_ULPI_DATA_O(0:0) USB_ULPI_DATA_OE(0:0)} {USB_ULPI_DATA_I(1:1) USB_ULPI_DATA_O(1:1) USB_ULPI_DATA_OE(1:1)} {USB_ULPI_DATA_I(2:2) USB_ULPI_DATA_O(2:2) USB_ULPI_DATA_OE(2:2)} {USB_ULPI_DATA_I(3:3) USB_ULPI_DATA_O(3:3) USB_ULPI_DATA_OE(3:3)} {USB_ULPI_DATA_I(4:4) USB_ULPI_DATA_O(4:4) USB_ULPI_DATA_OE(4:4)} {USB_ULPI_DATA_I(5:5) USB_ULPI_DATA_O(5:5) USB_ULPI_DATA_OE(5:5)} {USB_ULPI_DATA_I(6:6) USB_ULPI_DATA_O(6:6) USB_ULPI_DATA_OE(6:6)} {USB_ULPI_DATA_I(7:7) USB_ULPI_DATA_O(7:7) USB_ULPI_DATA_OE(7:7)} {USB_ULPI_CLK(0:0) {} {}} {{} USB_ULPI_STP(0:0) {}} {USB_ULPI_DIR(0:0) {} {}} {USB_ULPI_NXT(0:0) {} {}}} mux_selects {1 1 1 1 1 1 1 1 1 1 1 1} valid_modes {SDR {SDR without external clock}} pins {MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18}} {HPS I/O Set 0} {locations {PIN_P28B0T PIN_P28A1T PIN_P28B1T PIN_P29A0T PIN_P29B0T PIN_P29A1T PIN_P29B1T PIN_P30A0T PIN_P30A1T PIN_P30B1T PIN_P31A0T PIN_P31B0T} signals {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} signal_parts {{USB_ULPI_DATA_I(0:0) USB_ULPI_DATA_O(0:0) USB_ULPI_DATA_OE(0:0)} {USB_ULPI_DATA_I(1:1) USB_ULPI_DATA_O(1:1) USB_ULPI_DATA_OE(1:1)} {USB_ULPI_DATA_I(2:2) USB_ULPI_DATA_O(2:2) USB_ULPI_DATA_OE(2:2)} {USB_ULPI_DATA_I(3:3) USB_ULPI_DATA_O(3:3) USB_ULPI_DATA_OE(3:3)} {USB_ULPI_DATA_I(4:4) USB_ULPI_DATA_O(4:4) USB_ULPI_DATA_OE(4:4)} {USB_ULPI_DATA_I(5:5) USB_ULPI_DATA_O(5:5) USB_ULPI_DATA_OE(5:5)} {USB_ULPI_DATA_I(6:6) USB_ULPI_DATA_O(6:6) USB_ULPI_DATA_OE(6:6)} {USB_ULPI_DATA_I(7:7) USB_ULPI_DATA_O(7:7) USB_ULPI_DATA_OE(7:7)} {USB_ULPI_CLK(0:0) {} {}} {{} USB_ULPI_STP(0:0) {}} {USB_ULPI_DIR(0:0) {} {}} {USB_ULPI_NXT(0:0) {} {}}} mux_selects {2 2 2 2 2 2 2 2 2 2 2 2} valid_modes {SDR {SDR without external clock}} pins {EMACIO1 EMACIO2 EMACIO3 EMACIO4 EMACIO5 EMACIO6 EMACIO7 EMACIO8 EMACIO10 EMACIO11 EMACIO12 EMACIO13}}}}} SPIS1_Mode N/A USE_FAKE_PHY false INTG_MEM_CLK_ENTRY_CYCLES 10 TIMING_BOARD_DELTA_DQS_ARRIVAL_TIME_APPLIED 0.0 PLL_C2P_WRITE_CLK_DIV 0 AFI_ODT_WIDTH 1 BONDING_OUT_ENABLED false IO_DQDQS_OUT_PHASE_MAX 0 CV_PORT_5_CONNECT_TO_AV_PORT 5 INCLUDE_BOARD_DELAY_MODEL false cfg_clk_hz 97368421 PLL_AFI_CLK_PHASE_DEG_SIM 0.0 PLL_CONFIG_CLK_FREQ_SIM_STR {50010 ps} PLL_AFI_CLK_DIV 10 F2SDRAM_WR_PORT_USED 0x0 ENUM_WFIFO2_CPORT_MAP CMD_PORT_0 PLL_AFI_PHY_CLK_DIV_PARAM 0 ENUM_PORT3_WIDTH PORT_32_BIT ENABLE_USER_ECC false CV_ENUM_USER_PRIORITY_5 PRIORITY_1 CV_ENUM_USER_PRIORITY_4 PRIORITY_1 CV_ENUM_USER_PRIORITY_3 PRIORITY_1 CV_ENUM_USER_PRIORITY_2 PRIORITY_1 CV_ENUM_USER_PRIORITY_1 PRIORITY_1 CV_ENUM_USER_PRIORITY_0 PRIORITY_1 MEM_TRP_NS 15.0 JAVA_I2C3_DATA {I2C3 {signals_by_mode {I2C {SDA SCL} {Used by EMAC1} {SDA SCL}} pin_sets {{HPS I/O Set 0} {locations {PIN_P20A1T PIN_P20B1T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} valid_modes {I2C {Used by EMAC1}} mux_selects {1 1} pins {MIXED1IO6 MIXED1IO7}}}}} ADVANCED_CK_PHASES false ENUM_CFG_TYPE DDR3 JAVA_GUI_PIN_LIST {EMACIO0 EMACIO1 EMACIO2 EMACIO3 EMACIO4 EMACIO5 EMACIO6 EMACIO7 EMACIO8 EMACIO9 EMACIO10 EMACIO11 EMACIO12 EMACIO13 MIXED1IO0 MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO5 MIXED1IO6 MIXED1IO7 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO12 MIXED1IO13 MIXED1IO14 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18 MIXED1IO19 MIXED1IO20 MIXED1IO21 FLASHIO0 FLASHIO1 FLASHIO2 FLASHIO3 FLASHIO4 FLASHIO5 FLASHIO6 FLASHIO7 FLASHIO8 FLASHIO9 FLASHIO10 FLASHIO11 GENERALIO0 GENERALIO1 GENERALIO2 GENERALIO3 GENERALIO4 GENERALIO5 GENERALIO6 GENERALIO7 GENERALIO8 GENERALIO9 GENERALIO10 GENERALIO11 GENERALIO12 GENERALIO13 GENERALIO14 GENERALIO15 GENERALIO16 GENERALIO17 GENERALIO18} PRE_V_SERIES_FAMILY false INTG_EXTRA_CTL_CLK_WR_TO_RD_BC 3 AFI_WLAT_WIDTH 6 PLL_ADDR_CMD_CLK_PHASE_PS_PARAM 0 TPIUFPGA_Enable false PLL_MEM_CLK_FREQ 300.0 l3_mp_clk_div 1 F2SCLK_WARMRST_Enable false ENUM_PORT2_WIDTH PORT_32_BIT PLL_WRITE_CLK_DIV 10 LOANIO_Enable {No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No} CV_ENUM_PRIORITY_4_5 WEIGHT_0 CV_ENUM_PRIORITY_4_4 WEIGHT_0 CV_ENUM_PRIORITY_4_3 WEIGHT_0 CV_ENUM_PRIORITY_4_2 WEIGHT_0 JAVA_SDIO_DATA {SDIO {signals_by_mode {{1-bit Data} {CMD CLK D0} {4-bit Data} {CMD CLK D0 D1 D2 D3} {8-bit Data with PWREN} {CMD CLK D0 D1 D2 D3 D4 D5 D6 D7 PWREN} {8-bit Data} {CMD CLK D0 D1 D2 D3 D4 D5 D6 D7} {1-bit Data with PWREN} {CMD CLK D0 PWREN} {4-bit Data with PWREN} {CMD CLK D0 D1 D2 D3 PWREN}} pin_sets {{HPS I/O Set 0} {locations {PIN_P25A0T PIN_P25B0T PIN_P25A1T PIN_P25B1T PIN_P26A0T PIN_P26B0T PIN_P26A1T PIN_P26B1T PIN_P27A0T PIN_P27B0T PIN_P27A1T PIN_P27B1T} signals {CMD PWREN D0 D1 D4 D5 D6 D7 HPS_GPIO44 CLK D2 D3} signal_parts {{SDMMC_CMD_I(0:0) SDMMC_CMD_O(0:0) SDMMC_CMD_OE(0:0)} {{} SDMMC_PWR_EN(0:0) {}} {SDMMC_DATA_I(0:0) SDMMC_DATA_O(0:0) SDMMC_DATA_OE(0:0)} {SDMMC_DATA_I(1:1) SDMMC_DATA_O(1:1) SDMMC_DATA_OE(1:1)} {SDMMC_DATA_I(4:4) SDMMC_DATA_O(4:4) SDMMC_DATA_OE(4:4)} {SDMMC_DATA_I(5:5) SDMMC_DATA_O(5:5) SDMMC_DATA_OE(5:5)} {SDMMC_DATA_I(6:6) SDMMC_DATA_O(6:6) SDMMC_DATA_OE(6:6)} {SDMMC_DATA_I(7:7) SDMMC_DATA_O(7:7) SDMMC_DATA_OE(7:7)} HPS_GPIO44 {{} SDMMC_CCLK(0:0) {}} {SDMMC_DATA_I(2:2) SDMMC_DATA_O(2:2) SDMMC_DATA_OE(2:2)} {SDMMC_DATA_I(3:3) SDMMC_DATA_O(3:3) SDMMC_DATA_OE(3:3)}} mux_selects {3 3 3 3 3 3 3 3 3 3 3 3} valid_modes {{1-bit Data} {4-bit Data} {8-bit Data with PWREN} {8-bit Data} {1-bit Data with PWREN} {4-bit Data with PWREN}} pins {FLASHIO0 FLASHIO1 FLASHIO2 FLASHIO3 FLASHIO4 FLASHIO5 FLASHIO6 FLASHIO7 FLASHIO8 FLASHIO9 FLASHIO10 FLASHIO11}}}}} CV_ENUM_PRIORITY_4_1 WEIGHT_0 CV_ENUM_PRIORITY_4_0 WEIGHT_0 PLL_HR_CLK_DIV 0 NUM_EXTRA_REPORT_PATH 10 PLL_HR_CLK_MULT 0 CV_PORT_3_CONNECT_TO_AV_PORT 3 MEM_TREFI_US 7.0 PLL_DR_CLK_FREQ_SIM_STR {0 ps} main_pll_c5 15 main_pll_c4 3 PLL_HR_CLK_DIV_PARAM 0 main_pll_c3 3 TIMING_BOARD_SKEW_BETWEEN_DQS 0.02 periph_pll_n_auto 0 ENUM_PORT1_WIDTH PORT_32_BIT MEM_ASR Manual AVL_SIZE_WIDTH 3 l4_mp_clk_source 1 CV_ENUM_CPORT0_RFIFO_MAP FIFO_0 quartus_ini_hps_ip_enable_all_peripheral_fpga_interfaces false PLL_AFI_PHY_CLK_DIV_CACHE 0 CTI_Enable false dbg_at_clk_hz 50000000 CONTROLLER_LATENCY 5 S2FINTERRUPT_GPIO_Enable false INTG_EXTRA_CTL_CLK_RD_TO_RD 0 spi_m_clk_mhz 6.25 EARLY_ADDR_CMD_CLK_TRANSFER true FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_EMAC1_MD_CLK 2.5 JAVA_QSPI_DATA {QSPI {signals_by_mode {{2 SS} {CLK IO0 IO1 IO2 IO3 SS0 SS1} {1 SS} {CLK IO0 IO1 IO2 IO3 SS0} {4 SS} {CLK IO0 IO1 IO2 IO3 SS0 SS1 SS2 SS3}} pin_sets {{HPS I/O Set 1} {locations {PIN_P24B0T PIN_P19A0T PIN_P22B0T PIN_P22B1T PIN_P23A0T PIN_P23B0T PIN_P23A1T PIN_P23B1T PIN_P24A0T} signals {SS1 SS3 SS2 IO0 IO1 IO2 IO3 SS0 CLK} signal_parts {{{} QSPI_SS_N(1:1) {}} {{} QSPI_SS_N(3:3) {}} {{} QSPI_SS_N(2:2) {}} {QSPI_MI0(0:0) QSPI_MO0(0:0) QSPI_MO_EN_N(0:0)} {QSPI_MI1(0:0) QSPI_MO1(0:0) QSPI_MO_EN_N(1:1)} {QSPI_MI2(0:0) QSPI_MO2(0:0) QSPI_MO_EN_N(2:2)} {QSPI_MI3(0:0) QSPI_MO3(0:0) QSPI_MO_EN_N(3:3)} {{} QSPI_SS_N(0:0) {}} {{} QSPI_SCLK(0:0) {}}} mux_selects {3 1 1 3 3 3 3 3 3} valid_modes {{2 SS} {1 SS} {4 SS}} pins {MIXED1IO21 MIXED1IO0 MIXED1IO13 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18 MIXED1IO19 MIXED1IO20}} {HPS I/O Set 0} {locations {PIN_P19A0T PIN_P22B0T PIN_P22A1T PIN_P22B1T PIN_P23A0T PIN_P23B0T PIN_P23A1T PIN_P23B1T PIN_P24A0T} signals {SS3 SS2 SS1 IO0 IO1 IO2 IO3 SS0 CLK} signal_parts {{{} QSPI_SS_N(3:3) {}} {{} QSPI_SS_N(2:2) {}} {{} QSPI_SS_N(1:1) {}} {QSPI_MI0(0:0) QSPI_MO0(0:0) QSPI_MO_EN_N(0:0)} {QSPI_MI1(0:0) QSPI_MO1(0:0) QSPI_MO_EN_N(1:1)} {QSPI_MI2(0:0) QSPI_MO2(0:0) QSPI_MO_EN_N(2:2)} {QSPI_MI3(0:0) QSPI_MO3(0:0) QSPI_MO_EN_N(3:3)} {{} QSPI_SS_N(0:0) {}} {{} QSPI_SCLK(0:0) {}}} mux_selects {1 1 2 3 3 3 3 3 3} valid_modes {{2 SS} {1 SS} {4 SS}} pins {MIXED1IO0 MIXED1IO13 MIXED1IO14 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18 MIXED1IO19 MIXED1IO20}}}}} CTL_CSR_CONNECTION INTERNAL_JTAG PERFORM_READ_AFTER_WRITE_CALIBRATION true main_nand_sdmmc_clk_mhz 3.613281 PLL_ADDR_CMD_CLK_PHASE_PS_CACHE 2500 FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_SPIS1_SCLK_IN 100 main_pll_c5_auto 18 TIMING_TIS 175 ENUM_GEN_DBE GEN_DBE_DISABLED REF_CLK_FREQ_STR {125.0 MHz} TIMING_BOARD_MAX_CK_DELAY 0.6 PLL_P2C_READ_CLK_MULT 0 MEM_TWR 5 TIMING_TIH 250 main_pll_n_auto 0 TIMING_BOARD_TIS 0.0 PLL_NIOS_CLK_PHASE_PS_STR {} AV_PORT_2_CONNECT_TO_CV_PORT 2 PLL_HR_CLK_PHASE_PS_STR {} TIMING_BOARD_TIH 0.0 ENUM_PRIORITY_4_5 WEIGHT_0 ENUM_PRIORITY_4_4 WEIGHT_0 ENUM_PRIORITY_4_3 WEIGHT_0 ENUM_PRIORITY_4_2 WEIGHT_0 ENUM_PRIORITY_4_1 WEIGHT_0 ENUM_PRIORITY_4_0 WEIGHT_0 PLL_HR_CLK_DIV_CACHE 0 FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_QSPI_SCLK_OUT 100 ALLOCATED_RFIFO_PORT {None None None None None None} ENUM_CPORT2_TYPE DISABLE ENUM_ENABLE_INTR DISABLED main_pll_c1_internal 4 VECT_ATTR_COUNTER_ONE_MATCH 0 CTL_WR_TO_WR_EXTRA_CLK 0 usb_mp_clk_div_auto 4 MEM_CK_PHASE 0.0 VECT_ATTR_COUNTER_ZERO_MASK 0 IO_STANDARD SSTL-15 SPIM1_PinMuxing Unused desired_qspi_clk_hz 400000000 desired_usb_mp_clk_mhz 200.0 desired_nand_clk_hz 12500000 BYTE_ENABLE true usb_mp_clk_hz 6250000 TIMING_BOARD_DQS_DQSN_SLEW_RATE_APPLIED 2.0 AVL_DATA_WIDTH_PORT_5 1 AVL_DATA_WIDTH_PORT_4 1 ENUM_MEM_IF_TCWL TCWL_6 AVL_DATA_WIDTH_PORT_3 1 PLL_MEM_CLK_PHASE_DEG 0.0 PLL_CONFIG_CLK_PHASE_PS 0 AVL_DATA_WIDTH_PORT_2 1 AVL_DATA_WIDTH_PORT_1 1 AVL_DATA_WIDTH_PORT_0 1 MAX_WRITE_LATENCY_COUNT_WIDTH 4 TEST_Enable false IS_ES_DEVICE_CACHE false MEM_INIT_EN false PLL_WRITE_CLK_FREQ_SIM_STR_PARAM {} ENABLE_EXPORT_SEQ_DEBUG_BRIDGE false TIMING_BOARD_DQ_SLEW_RATE_APPLIED 1.0 MEM_IF_CLK_PAIR_COUNT 1 CFG_PORT_WIDTH_READ_ODT_CHIP 1 HCX_COMPAT_MODE false PLL_AFI_CLK_PHASE_PS_PARAM 0 ENABLE_ISS_PROBES false PLL_WRITE_CLK_PHASE_PS 2500 CV_ENUM_RFIFO0_CPORT_MAP CMD_PORT_0 sdmmc_clk_mhz 1.953125 AFI_RATE_RATIO 1 MEM_IF_CHIP_BITS 1 CV_ENUM_PRIORITY_7_5 WEIGHT_0 MEM_AUTO_PD_CYCLES 0 CV_ENUM_PRIORITY_7_4 WEIGHT_0 CV_ENUM_PRIORITY_7_3 WEIGHT_0 CV_ENUM_PRIORITY_7_2 WEIGHT_0 CV_ENUM_PRIORITY_7_1 WEIGHT_0 PLL_NIOS_CLK_PHASE_DEG 10.0 CV_ENUM_PRIORITY_7_0 WEIGHT_0 TPIUFPGA_alt false l4_sp_clk_source 1 F2H_SDRAM3_CLOCK_FREQ 100 F2SDRAM_RST_PORT_USED 0x0 AC_ROM_USER_ADD_1 0_0000_0000_1000 AC_ROM_USER_ADD_0 0_0000_0000_0000 cfg_clk_mhz 97.368421 PLL_AFI_PHY_CLK_PHASE_PS_STR {} TIMING_BOARD_AC_EYE_REDUCTION_SU_APPLIED 0.0 DLL_SHARING_MODE None MEM_IF_DM_PINS_EN true FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_USB0_CLK_IN 100 AVL_DATA_WIDTH_PORT {32 32 32 32 32 32} TIMING_TDS 50 INTG_CYC_TO_RLD_JARS_5 1 ENUM_CPORT3_RDY_ALMOST_FULL NOT_FULL INTG_CYC_TO_RLD_JARS_4 1 DEBUG_MODE false F2SDRAM_Type {} INTG_CYC_TO_RLD_JARS_3 1 F2SCLK_SDRAMCLK_Enable false MEM_TRP 5 INTG_CYC_TO_RLD_JARS_2 1 INTG_CYC_TO_RLD_JARS_1 1 INTG_CYC_TO_RLD_JARS_0 1 TIMING_TDH 125 PLL_AFI_CLK_PHASE_DEG 0.0 REF_CLK_FREQ_MIN_PARAM 0.0 PLL_WRITE_CLK_FREQ_SIM_STR_CACHE {3334 ps} TIMING_BOARD_TDS 0.0 MEM_IF_CONTROL_WIDTH 1 MEM_TRC 17 sdmmc_clk_source 2 DELAY_BUFFER_MODE HIGH PLL_MEM_CLK_MULT 24 ACV_PHY_CLK_ADD_FR_PHASE_CACHE 0.0 DWIDTH_RATIO 2 MR2_ASR 0 JAVA_UART1_DATA {UART1 {signals_by_mode {{Flow Control} {RX TX CTS RTS} {No Flow Control} {RX TX}} pin_sets {{HPS I/O Set 0} {locations {PIN_P16B1T PIN_P17A0T PIN_P17B1T PIN_P18A0T} signals {CTS RTS RX TX} signal_parts {{UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}} {UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}}} mux_selects {1 1 2 2} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO11 GENERALIO12 GENERALIO15 GENERALIO16}}}}} IO_DQS_EN_PHASE_MAX 7 PLL_P2C_READ_CLK_PHASE_PS 0 USE_DQS_TRACKING true COMMAND_PHASE_CACHE 0.0 PLL_AFI_CLK_PHASE_PS_CACHE 0 use_default_mpu_clk true TIMING_BOARD_TDH 0.0 PLL_NIOS_CLK_PHASE_PS_SIM_STR {} USE_SHADOW_REGS false MAX_PENDING_RD_CMD 32 PLL_CONFIG_CLK_FREQ_STR {} mpu_base_clk_hz 925000000 AVL_DATA_WIDTH 16 PLL_AFI_PHY_CLK_FREQ 300.0 periph_nand_sdmmc_clk_mhz 1.953125 desired_spi_m_clk_mhz 200.0 LRDIMM_INT 0 JAVA_CAN1_DATA {CAN1 {signals_by_mode {CAN {RX TX}} pin_sets {{HPS I/O Set 1} {locations {PIN_P16B1T PIN_P17A0T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {2 2} valid_modes CAN pins {GENERALIO11 GENERALIO12}} {HPS I/O Set 0} {locations {PIN_P15B0T PIN_P15A1T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {1 1} valid_modes CAN pins {GENERALIO5 GENERALIO6}}}}} EXTRA_SETTINGS {} PLL_HR_CLK_MULT_PARAM 0 ALLOCATED_WFIFO_PORT {None None None None None None} AC_ROM_MR1_MIRR 0000000000000 main_clk_hz 370000000 GPIO_Enable {No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No} PLL_ADDR_CMD_CLK_DIV_PARAM 0 CV_ENUM_ENABLE_BONDING_5 DISABLED ENUM_CMD_PORT_IN_USE_5 FALSE CV_ENUM_ENABLE_BONDING_4 DISABLED ENUM_CMD_PORT_IN_USE_4 FALSE CV_ENUM_ENABLE_BONDING_3 DISABLED ENUM_CMD_PORT_IN_USE_3 FALSE MEM_IF_READ_DQS_WIDTH 1 CV_ENUM_ENABLE_BONDING_2 DISABLED ENUM_CMD_PORT_IN_USE_2 FALSE PLL_NIOS_CLK_FREQ_PARAM 0.0 CV_ENUM_ENABLE_BONDING_1 DISABLED ENUM_CMD_PORT_IN_USE_1 FALSE CV_ENUM_ENABLE_BONDING_0 DISABLED ENUM_CMD_PORT_IN_USE_0 FALSE ENUM_PRIORITY_7_5 WEIGHT_0 PLL_WRITE_CLK_FREQ_STR {300.0 MHz} ENUM_PRIORITY_7_4 WEIGHT_0 ENUM_PRIORITY_7_3 WEIGHT_0 FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_I2C3_CLK 100 ENUM_PRIORITY_7_2 WEIGHT_0 ENUM_PRIORITY_7_1 WEIGHT_0 ENUM_PRIORITY_7_0 WEIGHT_0 I2C2_PinMuxing Unused ENUM_TEST_MODE NORMAL_MODE DEPLOY_SEQUENCER_SW_FILES_FOR_DEBUG false I2C0_Mode N/A IO_SHIFT_DQS_EN_WHEN_SHIFT_DQS false PLL_CONFIG_CLK_PHASE_PS_SIM_STR_PARAM {} can0_clk_hz 6250000 VECT_ATTR_DEBUG_SELECT_BYTE 0 emac0_clk_hz 1953125 REF_CLK_FREQ_MIN_CACHE 10.0 TIMING_BOARD_AC_TO_CK_SKEW 0.0 CTL_LOOK_AHEAD_DEPTH 4 main_pll_c2_internal 4 MPU_EVENTS_Enable false ENUM_AUTO_PCH_ENABLE_5 DISABLED INTG_RCFG_SUM_WT_PRIORITY_7 0 ENUM_AUTO_PCH_ENABLE_4 DISABLED INTG_RCFG_SUM_WT_PRIORITY_6 0 ENUM_AUTO_PCH_ENABLE_3 DISABLED INTG_RCFG_SUM_WT_PRIORITY_5 0 ENUM_AUTO_PCH_ENABLE_2 DISABLED INTG_RCFG_SUM_WT_PRIORITY_4 0 ENUM_AUTO_PCH_ENABLE_1 DISABLED INTG_RCFG_SUM_WT_PRIORITY_3 0 ENUM_AUTO_PCH_ENABLE_0 DISABLED INTG_RCFG_SUM_WT_PRIORITY_2 0 ENUM_ENABLE_DQS_TRACKING ENABLED INTG_RCFG_SUM_WT_PRIORITY_1 0 desired_usb_mp_clk_hz 200000000 INTG_RCFG_SUM_WT_PRIORITY_0 0 TIMING_BOARD_SKEW_CKDQS_DIMM_MIN -0.01 PLL_CONFIG_CLK_PHASE_PS_PARAM 0 LOW_LATENCY false CV_LSB_RFIFO_PORT_5 5 F2SCLK_DBGRST_Enable false CV_LSB_RFIFO_PORT_4 5 CV_LSB_RFIFO_PORT_3 5 usb_mp_clk_div 0 CV_LSB_RFIFO_PORT_2 5 spi_m_clk_hz 6250000 PLL_HR_CLK_MULT_CACHE 0 CV_LSB_RFIFO_PORT_1 5 CV_LSB_RFIFO_PORT_0 5 PLL_P2C_READ_CLK_PHASE_DEG_SIM 0.0 ENUM_MASK_SBE_INTR DISABLED PLL_P2C_READ_CLK_FREQ_STR {} MEM_TRAS_NS 40.0 mpu_l2_ram_clk_mhz 462.5 cfg_h2f_user0_clk_mhz 97.368421 USB0_PinMuxing Unused DELAY_PER_DCHAIN_TAP 25 PLL_ADDR_CMD_CLK_DIV_CACHE 10 l3_sp_clk_div 1 ENUM_CPORT1_RFIFO_MAP FIFO_0 PLL_NIOS_CLK_FREQ_CACHE 0.0 MEM_CS_WIDTH 1 EXPORT_AFI_HALF_CLK false desired_sdmmc_clk_mhz 200.0 configure_advanced_parameters false MAX10_RTL_SEQ false PLL_MEM_CLK_FREQ_SIM_STR {3334 ps} FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_I2C2_SCL_IN 100 CTL_ODT_ENABLED false TIMING_BOARD_ISI_METHOD AUTO CV_ENUM_CPORT4_TYPE DISABLE PLL_AFI_PHY_CLK_MULT_PARAM 0 PLL_CONFIG_CLK_PHASE_PS_SIM_STR_CACHE {} ENUM_CPORT4_WFIFO_MAP FIFO_0 UART0_Mode N/A S2FCLK_USER0CLK_FREQ_HZ 100000000 S2FCLK_USER2CLK_Enable false CV_LSB_WFIFO_PORT_5 5 CV_LSB_WFIFO_PORT_4 5 PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR_PARAM {} MEM_CLK_FREQ_MAX 400.0 CV_LSB_WFIFO_PORT_3 5 periph_pll_c3_auto 511 PLL_AFI_PHY_CLK_FREQ_PARAM 0.0 CV_LSB_WFIFO_PORT_2 5 CV_LSB_WFIFO_PORT_1 5 CV_LSB_WFIFO_PORT_0 5 ENABLE_EMIT_JTAG_MASTER true CTL_DYNAMIC_BANK_ALLOCATION false CTL_AUTOPCH_EN false S2FINTERRUPT_CLOCKPERIPHERAL_Enable false MEM_TWTR 2 CV_PORT_4_CONNECT_TO_AV_PORT 4 PLL_CONFIG_CLK_PHASE_PS_CACHE 0 F2SDRAM_RD_PORT_USED 0x0 PLL_NIOS_CLK_PHASE_PS_SIM 0 S2FCLK_PENDINGRST_Enable false PLL_HR_CLK_PHASE_PS_SIM 0 PLL_NIOS_CLK_PHASE_PS_SIM_STR_PARAM {} PLL_ADDR_CMD_CLK_PHASE_PS 2500 PLL_P2C_READ_CLK_PHASE_PS_STR {} USE_MM_ADAPTOR true AV_PORT_5_CONNECT_TO_CV_PORT 5 FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC1_RX_CLK_IN 100 CTL_USR_REFRESH 0 FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_EMAC0_GTX_CLK 125 CTL_SELF_REFRESH_EN false CFG_WRITE_ODT_CHIP 1 CTL_ENABLE_BURST_INTERRUPT_INT false MEM_WTCL 6 WEIGHT_PORT_5 0 WEIGHT_PORT_4 0 WEIGHT_PORT_3 0 WEIGHT_PORT_2 0 dbctrl_stayosc1 true WEIGHT_PORT_1 0 WEIGHT_PORT_0 0 CV_ENUM_CPORT3_RFIFO_MAP FIFO_0 MEM_IF_COL_ADDR_WIDTH 8 dbg_timer_clk_hz 50000000 TRK_PARALLEL_SCC_LOAD false periph_pll_vco_auto_hz 1000000000 show_debug_info_as_warning_msg false IO_OUT1_DELAY_MAX 31 MEM_IF_SIM_VALID_WINDOW 0 MEM_INIT_FILE {} PLL_AFI_PHY_CLK_MULT_CACHE 0 SPIM1_Mode N/A hps_device_family {Cyclone V} F2H_SDRAM0_CLOCK_FREQ 100 PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR_CACHE {} PLL_HR_CLK_PHASE_DEG_SIM 0.0 PLL_AFI_PHY_CLK_FREQ_CACHE 0.0 l3_mp_clk_hz 185000000 PHY_CSR_CONNECTION INTERNAL_JTAG TB_RATE FULL S2FCLK_USER2CLK_FREQ 100.0 MR3_MPR_RF 0 PLL_P2C_READ_CLK_MULT_PARAM 0 desired_sdmmc_clk_hz 200000000 desired_cfg_clk_mhz 100.0 PLL_P2C_READ_CLK_FREQ_PARAM 0.0 MEM_RTT_NOM {ODT Disabled} AV_PORT_3_CONNECT_TO_CV_PORT 3 PLL_AFI_PHY_CLK_MULT 0 CONTROLLER_TYPE nextgen_v110 MEM_DQS_TO_CLK_CAPTURE_DELAY 450 DQ_DDR 1 CV_ENUM_STATIC_WEIGHT_5 WEIGHT_0 CV_ENUM_STATIC_WEIGHT_4 WEIGHT_0 PLL_NIOS_CLK_PHASE_PS_SIM_STR_CACHE {} CV_ENUM_STATIC_WEIGHT_3 WEIGHT_0 CV_ENUM_STATIC_WEIGHT_2 WEIGHT_0 dbg_clk_div 1 CV_ENUM_STATIC_WEIGHT_1 WEIGHT_0 S2FINTERRUPT_OSCTIMER_Enable false CV_ENUM_STATIC_WEIGHT_0 WEIGHT_0 PLL_HR_CLK_PHASE_PS_SIM_STR {} PLL_AFI_HALF_CLK_DIV_PARAM 0 REF_CLK_PS 8000.0 CV_ENUM_WFIFO1_CPORT_MAP CMD_PORT_0 ENUM_MEM_IF_TWR TWR_5 can1_clk_div_auto 4 TIMING_BOARD_DERATE_METHOD AUTO CV_ENUM_CPORT0_WFIFO_MAP FIFO_0 CV_ENUM_RCFG_STATIC_WEIGHT_5 WEIGHT_0 CV_ENUM_RCFG_STATIC_WEIGHT_4 WEIGHT_0 CV_ENUM_RCFG_STATIC_WEIGHT_3 WEIGHT_0 ENUM_MEM_IF_BANKADDR_WIDTH ADDR_WIDTH_3 CV_ENUM_RCFG_STATIC_WEIGHT_2 WEIGHT_0 PLL_AFI_PHY_CLK_PHASE_PS_SIM 0 CV_ENUM_RCFG_STATIC_WEIGHT_1 WEIGHT_0 CV_ENUM_RCFG_STATIC_WEIGHT_0 WEIGHT_0 MEM_TRCD_NS 15.0 RATE Full SEQUENCER_TYPE NIOS ENUM_CFG_SELF_RFSH_EXIT_CYCLES SELF_RFSH_EXIT_CYCLES_512 AVL_BE_WIDTH 2 LSB_RFIFO_PORT_5 5 LOCAL_CS_WIDTH 0 LSB_RFIFO_PORT_4 5 LSB_RFIFO_PORT_3 5 LSB_RFIFO_PORT_2 5 LSB_RFIFO_PORT_1 5 LSB_RFIFO_PORT_0 5 MEM_IF_NUMBER_OF_RANKS 1 MEM_CLK_EN_WIDTH 1 ENUM_CAL_REQ DISABLED l3_mp_clk_mhz 185.0 CV_ENUM_PORT5_WIDTH PORT_32_BIT emac0_clk_mhz 1.953125 CFG_ECC_DECODER_REG 0 ENUM_ATTR_COUNTER_ZERO_RESET DISABLED TIMING_BOARD_SKEW_CKDQS_DIMM_MAX 0.01 PLL_P2C_READ_CLK_MULT_CACHE 0 quartus_ini_hps_ip_enable_test_interface false PLL_P2C_READ_CLK_FREQ_CACHE 0.0 INTG_MEM_AUTO_PD_CYCLES 0 INTG_EXTRA_CTL_CLK_ACT_TO_ACT_DIFF_BANK 0 REF_CLK_NS 8.0 TRACE_Mode N/A ENUM_CTRL_WIDTH DATA_WIDTH_16_BIT MR1_TDQS 0 ENUM_CPORT4_TYPE DISABLE l4_mp_clk_div 1 OCT_SHARING_MODE None PLL_AFI_HALF_CLK_DIV_CACHE 10 LRDIMM_EXTENDED_CONFIG 0x000000000000000000 USE_MEM_CLK_FREQ false PLL_DR_CLK_PHASE_PS 0 desired_gpio_db_clk_hz 32000 CFG_POWER_SAVING_EXIT_CYCLES 5 S2FINTERRUPT_NAND_Enable false FORCE_DQS_TRACKING AUTO ENUM_CTL_USR_REFRESH CTL_USR_REFRESH_DISABLED EXTRA_VFIFO_SHIFT 0 LDC_FOR_ADDR_CMD_MEM_CK_CPS_INVERT true NUM_WRITE_PATH_FLOP_STAGES 1 CSEL 0 PLL_AFI_CLK_PHASE_PS_SIM_STR_PARAM {} PLL_CONFIG_CLK_PHASE_PS_STR {} MEM_ATCL_INT 0 ENUM_WFIFO2_RDY_ALMOST_FULL NOT_FULL ENUM_MASK_CORR_DROPPED_INTR DISABLED CV_AVL_DATA_WIDTH_PORT_5 1 CV_AVL_DATA_WIDTH_PORT_4 1 PLL_AFI_HALF_CLK_FREQ 300.0 CV_AVL_DATA_WIDTH_PORT_3 1 CV_AVL_DATA_WIDTH_PORT_2 1 SKIP_MEM_INIT true CV_AVL_DATA_WIDTH_PORT_1 1 CV_AVL_DATA_WIDTH_PORT_0 1 F2SINTERRUPT_Enable false USE_USER_RDIMM_VALUE false ENUM_MEM_IF_TRP TRP_5 MR2_RTT_WR 0 MEM_TCL 7 GPIO_Pin_Used_DERIVED false JAVA_CONFLICT_PIN {No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No} INTG_MEM_IF_TRFC 23 USE_2X_FF false ENUM_MEM_IF_TRC TRC_17 TIMING_BOARD_DQ_EYE_REDUCTION 0.0 CTL_DEEP_POWERDN_EN false MEM_GUARANTEED_WRITE_INIT false MEM_IF_ADDR_WIDTH_MIN 13 default_mpu_clk_mhz 925.0 AVL_ADDR_WIDTH 22 DAT_DATA_WIDTH 32 UART1_PinMuxing Unused ENABLE_LARGE_RW_MGR_DI_BUFFER false PLL_AFI_CLK_PHASE_PS_SIM_STR_CACHE {0 ps} PLL_DR_CLK_FREQ_STR {} PLL_AFI_CLK_FREQ_PARAM 0.0 nand_clk_hz 488281 can0_clk_div 1 DQS_IN_DELAY_MAX 31 JAVA_SPIM0_DATA {SPIM0 {signals_by_mode {{Dual Slave Selects} {CLK MOSI MISO SS0 SS1} {Single Slave Select} {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P16B0T PIN_P16A1T PIN_P16B1T PIN_P17A0T PIN_P17B0T} signals {CLK MOSI MISO SS0 SS1} signal_parts {{{} SPI_MASTER_SCLK(0:0) {}} {{} SPI_MASTER_TXD(0:0) SPI_MASTER_SSI_OE_N(0:0)} {SPI_MASTER_RXD(0:0) {} {}} {{} SPI_MASTER_SS_0_N(0:0) {}} {{} SPI_MASTER_SS_1_N(0:0) {}}} mux_selects {3 3 3 3 1} valid_modes {{Dual Slave Selects} {Single Slave Select}} pins {GENERALIO9 GENERALIO10 GENERALIO11 GENERALIO12 GENERALIO13}}}}} USB0_Mode N/A PLL_ADDR_CMD_CLK_FREQ_SIM_STR_PARAM {} CFG_READ_ODT_CHIP 0 h2f_user0_clk_hz 97368421 C2P_WRITE_CLOCK_ADD_PHASE_CACHE 0.0 ENABLE_SEQUENCER_MARGINING_ON_BY_DEFAULT false PLL_CONFIG_CLK_MULT_PARAM 0 AVL_ADDR_WIDTH_PORT_5 1 AVL_ADDR_WIDTH_PORT_4 1 JAVA_I2C0_DATA {I2C0 {signals_by_mode {I2C {SDA SCL}} pin_sets {{HPS I/O Set 1} {locations {PIN_P17B1T PIN_P18A0T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {3 3} valid_modes I2C pins {GENERALIO15 GENERALIO16}} {HPS I/O Set 0} {locations {PIN_P15B1T PIN_P16A0T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {1 1} valid_modes I2C pins {GENERALIO7 GENERALIO8}}}}} INTG_EXTRA_CTL_CLK_RD_TO_WR_DIFF_CHIP 2 AVL_ADDR_WIDTH_PORT_3 1 AC_ROM_MR3_MIRR 0000000000000 ENUM_MEM_IF_TCCD TCCD_4 AVL_ADDR_WIDTH_PORT_2 1 CV_ENUM_PRIORITY_0_5 WEIGHT_0 AVL_ADDR_WIDTH_PORT_1 1 CV_ENUM_PRIORITY_0_4 WEIGHT_0 AVL_ADDR_WIDTH_PORT_0 1 PLL_CONFIG_CLK_FREQ_PARAM 0.0 CV_ENUM_PRIORITY_0_3 WEIGHT_0 CV_ENUM_PRIORITY_0_2 WEIGHT_0 CV_ENUM_PRIORITY_0_1 WEIGHT_0 CV_ENUM_PRIORITY_0_0 WEIGHT_0 RDIMM false LWH2F_Enable false desired_emac0_clk_mhz 250.0 USE_LDC_AS_LOW_SKEW_CLOCK false PLL_P2C_READ_CLK_PHASE_PS_SIM 0 ENUM_PORT5_WIDTH PORT_32_BIT I2C2_Mode N/A MR0_WR 1 F2SDRAM_Width {} dbg_clk_hz 25000000 PLL_AFI_CLK_FREQ 300.0 ENUM_WR_PORT_INFO_5 USE_NO FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_I2C0_CLK 100 TIMING_BOARD_TDS_APPLIED 0.225 ENUM_WR_PORT_INFO_4 USE_NO CTL_REGDIMM_ENABLED false ENABLE_ABSTRACT_RAM false FORCE_SYNTHESIS_LANGUAGE {} ENUM_MEM_IF_SPEEDBIN DDR3_800_5_5_5 ENUM_WR_PORT_INFO_3 USE_NO ENUM_WR_PORT_INFO_2 USE_NO ENUM_WR_PORT_INFO_1 USE_NO ENUM_WR_PORT_INFO_0 USE_NO ENUM_WFIFO3_RDY_ALMOST_FULL NOT_FULL F2H_SDRAM4_CLOCK_FREQ 100 ADVERTIZE_SEQUENCER_SW_BUILD_FILES false PLL_AFI_CLK_FREQ_CACHE 300.0 ENUM_PORT4_WIDTH PORT_32_BIT PLL_NIOS_CLK_FREQ 60.0 dbg_timer_clk_mhz 50.0 PLL_ADDR_CMD_CLK_FREQ_SIM_STR_CACHE {3334 ps} FORCE_MAX_LATENCY_COUNT_WIDTH 0 SPIS0_PinMuxing Unused PLL_AFI_HALF_CLK_MULT_PARAM 0 S2FINTERRUPT_USB_Enable false PLL_CONFIG_CLK_MULT_CACHE 0 TRACE_PinMuxing Unused l4_sp_clk_hz 100000000 PLL_DR_CLK_PHASE_DEG 0.0 AC_PARITY false desired_nand_clk_mhz 12.5 PLL_AFI_HALF_CLK_FREQ_PARAM 0.0 PLL_CONFIG_CLK_FREQ_CACHE 0.0 ENUM_ATTR_STATIC_CONFIG_VALID DISABLED customize_device_pll_info false ENUM_PRIORITY_0_5 WEIGHT_0 ENUM_PRIORITY_0_4 WEIGHT_0 MEM_TDQSCK 1 ENUM_PRIORITY_0_3 WEIGHT_0 ENUM_PRIORITY_0_2 WEIGHT_0 ENUM_PRIORITY_0_1 WEIGHT_0 ENUM_CPORT1_WFIFO_MAP FIFO_0 ENUM_PRIORITY_0_0 WEIGHT_0 ENABLE_NIOS_PRINTF_OUTPUT false ABSTRACT_REAL_COMPARE_TEST false RATE_CACHE Full PLL_MASTER true USE_HPS_DQS_TRACKING false MEM_CK_LDC_ADJUSTMENT_THRESHOLD 0 PLL_DR_CLK_MULT_PARAM 0 BOOTFROMFPGA_Enable false periph_pll_c5_auto 511 PLL_P2C_READ_CLK_DIV 0 PLL_DR_CLK_FREQ_PARAM 0.0 CV_ENUM_CPORT1_RFIFO_MAP FIFO_0 spi_m_clk_div_auto 4 dbg_at_clk_div 0 ENUM_CTL_REGDIMM_ENABLED REGDIMM_DISABLED PLL_WRITE_CLK_PHASE_PS_SIM_STR {2500 ps} USE_MEM_CLK_FREQ_CACHE false MEM_IF_ROW_ADDR_WIDTH 12 ENUM_CLR_INTR NO_CLR_INTR INTG_EXTRA_CTL_CLK_WR_TO_WR_DIFF_CHIP 0 PLL_WRITE_CLK_PHASE_DEG 270.0 PLL_HR_CLK_FREQ_SIM_STR_PARAM {} h2f_user1_clk_mhz 1.953125 PLL_AFI_HALF_CLK_MULT_CACHE 24 MEM_RANK_MULTIPLICATION_FACTOR 1 PLL_AFI_HALF_CLK_MULT 24 AV_PORT_4_CONNECT_TO_CV_PORT 4 desired_mpu_clk_hz 800000000 PLL_AFI_HALF_CLK_FREQ_CACHE 300.0 AFI_WRITE_DQS_WIDTH 1 ENUM_OUTPUT_REGD DISABLED usb_mp_clk_mhz 6.25 PLL_MEM_CLK_PHASE_DEG_SIM 0.0 desired_emac0_clk_hz 250000000 eosc2_clk_hz 50000000 TIMING_BOARD_SKEW_CKDQS_DIMM_MAX_APPLIED 0.01 desired_can1_clk_mhz 100.0 l3_sp_clk_mhz 92.5 CV_ENUM_PRIORITY_3_5 WEIGHT_0 MEM_NUMBER_OF_RANKS_PER_DIMM 1 MEM_COL_ADDR_WIDTH 8 CV_ENUM_PRIORITY_3_4 WEIGHT_0 NEXTGEN true nand_x_clk_mhz 1.953125 CV_ENUM_PRIORITY_3_3 WEIGHT_0 CV_ENUM_PRIORITY_3_2 WEIGHT_0 main_pll_vco_mhz -1094.967296 CV_ENUM_PRIORITY_3_1 WEIGHT_0 CV_ENUM_PRIORITY_3_0 WEIGHT_0 F2SCLK_SDRAMCLK_FREQ_MHZ 0.0 TIMING_BOARD_TIS_APPLIED 0.35 EMAC0_PTP false CV_ENUM_CPORT3_WFIFO_MAP FIFO_0 FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC_PTP_REF_CLOCK 100 INTG_EXTRA_CTL_CLK_ACT_TO_ACT 0 PLL_DR_CLK_MULT_CACHE 0 PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR {2500 ps} PLL_MEM_CLK_PHASE_PS_PARAM 0 PLL_AFI_CLK_FREQ_SIM_STR {3334 ps} F2SCLK_PERIPHCLK_FREQ_MHZ 0.0 PLL_DR_CLK_FREQ_CACHE 0.0 IO_DQS_OUT_RESERVE 4 TREFI 35100 l4_sp_clk_div 1 PLL_AFI_PHY_CLK_PHASE_PS_PARAM 0 MEM_IF_ADDR_WIDTH 13 ENUM_ECC_DQ_WIDTH ECC_DQ_WIDTH_0 PLL_CONFIG_CLK_PHASE_PS_SIM 0 ENUM_MEM_IF_TFAW TFAW_12 PLL_ADDR_CMD_CLK_PHASE_PS_STR {2500 ps} PLL_AFI_PHY_CLK_DIV 1000000 AC_ROM_MR0_DLL_RESET_MIRR 0001011001000 H2F_AXI_CLOCK_FREQ 50000000 MEM_CK_WIDTH 1 ENUM_CPORT0_RDY_ALMOST_FULL NOT_FULL ENUM_GEN_SBE GEN_SBE_DISABLED MEM_DRV_STR RZQ/6 MEM_IF_DM_WIDTH 1 DEVICE_FAMILY {Cyclone V} PLL_HR_CLK_FREQ_SIM_STR_CACHE {} DQS_DQSN_MODE DIFFERENTIAL NAND_PinMuxing Unused EMAC0_PinMuxing Unused S2FCLK_USER1CLK_FREQ_HZ 100000000 VCALIB_COUNT_WIDTH 2 MEM_TRRD_NS 7.5 MR0_PD 0 JAVA_EMAC1_DATA {EMAC1 {signals_by_mode {{RGMII with I2C3} {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3} RGMII {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3 MDIO MDC}} pin_sets {{HPS I/O Set 0} {linked_peripheral_pin_set {HPS I/O Set 0} mux_selects {2 2 2 2 2 2 2 2 2 2 2 2 2 2} pins {MIXED1IO0 MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO5 MIXED1IO6 MIXED1IO7 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO12 MIXED1IO13} signals {TX_CLK TXD0 TXD1 TXD2 TXD3 RXD0 MDIO MDC RX_CTL TX_CTL RX_CLK RXD1 RXD2 RXD3} valid_modes {RGMII {RGMII with I2C3}} locations {PIN_P19A0T PIN_P19B0T PIN_P19A1T PIN_P19B1T PIN_P20A0T PIN_P20B0T PIN_P20A1T PIN_P20B1T PIN_P21A0T PIN_P21B0T PIN_P21A1T PIN_P21B1T PIN_P22A0T PIN_P22B0T} linked_peripheral I2C3 linked_peripheral_mode {Used by EMAC1} signal_parts {{{} EMAC_CLK_TX(0:0) {}} {{} EMAC_PHY_TXD(0:0) {}} {{} EMAC_PHY_TXD(1:1) {}} {{} EMAC_PHY_TXD(2:2) {}} {{} EMAC_PHY_TXD(3:3) {}} {EMAC_PHY_RXD(0:0) {} {}} {EMAC_GMII_MDO_I(0:0) EMAC_GMII_MDO_O(0:0) EMAC_GMII_MDO_OE(0:0)} {{} EMAC_GMII_MDC(0:0) {}} {EMAC_PHY_RXDV(0:0) {} {}} {{} EMAC_PHY_TX_OE(0:0) {}} {EMAC_CLK_RX(0:0) {} {}} {EMAC_PHY_RXD(1:1) {} {}} {EMAC_PHY_RXD(2:2) {} {}} {EMAC_PHY_RXD(3:3) {} {}}}}}}} MR3_MPR_AA 0 PARSE_FRIENDLY_DEVICE_FAMILY CYCLONEV INTG_POWER_SAVING_EXIT_CYCLES 5 SYS_INFO_DEVICE_FAMILY {Cyclone V} CV_ENUM_RFIFO1_CPORT_MAP CMD_PORT_0 MEM_DQ_WIDTH 8 PRIORITY_PORT {1 1 1 1 1 1} ENUM_RCFG_USER_PRIORITY_5 PRIORITY_1 ENUM_RCFG_USER_PRIORITY_4 PRIORITY_1 CTL_DYNAMIC_BANK_NUM 4 ENUM_RCFG_USER_PRIORITY_3 PRIORITY_1 ENUM_RCFG_USER_PRIORITY_2 PRIORITY_1 ENUM_RCFG_USER_PRIORITY_1 PRIORITY_1 ENUM_RCFG_USER_PRIORITY_0 PRIORITY_1 MEM_ADD_LAT 0 AFI_BANKADDR_WIDTH 6 ENUM_PRIORITY_3_5 WEIGHT_0 ENUM_PRIORITY_3_4 WEIGHT_0 ENUM_PRIORITY_3_3 WEIGHT_0 ENUM_PRIORITY_3_2 WEIGHT_0 ENUM_PRIORITY_3_1 WEIGHT_0 JAVA_SPIS1_DATA {SPIS1 {signals_by_mode {SPI {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P15B0T PIN_P15A1T PIN_P15B1T PIN_P16A0T} signals {CLK MOSI SS0 MISO} signal_parts {{SPI_SLAVE_SCLK(0:0) {} {}} {SPI_SLAVE_RXD(0:0) {} {}} {SPI_SLAVE_SS_N(0:0) {} {}} {{} SPI_SLAVE_TXD(0:0) SPI_SLAVE_SSI_OE_N(0:0)}} mux_selects {2 2 2 2} valid_modes SPI pins {GENERALIO5 GENERALIO6 GENERALIO7 GENERALIO8}}}}} ENUM_PRIORITY_3_0 WEIGHT_0 PLL_AFI_CLK_MULT 24 PLL_AFI_HALF_CLK_PHASE_PS_STR {0 ps} dbg_trace_clk_div 0 INTG_EXTRA_CTL_CLK_SRF_TO_ZQ_CAL 0 PLL_MEM_CLK_PHASE_PS_CACHE 0 MR3_DS 2 PLL_AFI_PHY_CLK_PHASE_PS_CACHE 0 MEM_TFAW_NS 37.5 DELAY_PER_OPA_TAP 416 ADDR_RATE_RATIO 2 PLL_C2P_WRITE_CLK_FREQ_SIM_STR {0 ps} SDIO_PinMuxing Unused MEM_IF_CS_PER_RANK 1 PINGPONGPHY_EN false S2FINTERRUPT_SPISLAVE_Enable false CAN0_Mode N/A PARSE_FRIENDLY_DEVICE_FAMILY_PARAM {} INTG_EXTRA_CTL_CLK_WR_AP_TO_VALID 0 PLL_NIOS_CLK_MULT 0 PLL_WRITE_CLK_FREQ_SIM_STR {3334 ps} WRBUFFER_ADDR_WIDTH 6 PLL_DR_CLK_PHASE_PS_SIM_STR_PARAM {} TIMING_BOARD_DQS_DQSN_SLEW_RATE 2.0 PLL_P2C_READ_CLK_PHASE_PS_SIM_STR_PARAM {} dbg_clk_mhz 25.0 ENUM_ENABLE_BONDING_WRAPBACK DISABLED MEM_LRDIMM_ENABLED false RDBUFFER_ADDR_WIDTH 8 TIMING_BOARD_SKEW_BETWEEN_DIMMS_APPLIED 0.0 DEVICE_FAMILY_PARAM {} TIMING_BOARD_DELTA_DQS_ARRIVAL_TIME 0.0 AFI_WRANK_WIDTH 0 CV_ENUM_PRIORITY_6_5 WEIGHT_0 PLL_C2P_WRITE_CLK_DIV_PARAM 0 CV_ENUM_PRIORITY_6_4 WEIGHT_0 CV_ENUM_PRIORITY_6_3 WEIGHT_0 PLL_NIOS_CLK_DIV 5000000 CV_ENUM_PRIORITY_6_2 WEIGHT_0 SEQ_MODE 0 CV_ENUM_PRIORITY_6_1 WEIGHT_0 CV_ENUM_PRIORITY_6_0 WEIGHT_0 ENUM_MEM_IF_DQS_WIDTH DQS_WIDTH_1 DISCRETE_FLY_BY true WEIGHT_PORT {0 0 0 0 0 0} PLL_MEM_CLK_DIV 10 ENUM_MEM_IF_TCL TCL_7 MEM_IF_BOARD_BASE_DELAY 10 ENUM_MEM_IF_TRTP TRTP_3 CALIB_REG_WIDTH 8 PARSE_FRIENDLY_DEVICE_FAMILY_CACHE CYCLONEV CV_ENUM_CPORT1_TYPE DISABLE EMAC0_Mode N/A PLL_DR_CLK_PHASE_PS_SIM_STR_CACHE {} PLL_HR_CLK_FREQ_PARAM 0.0 MEM_SRT Normal PRIORITY_PORT_5 1 PRIORITY_PORT_4 1 PLL_P2C_READ_CLK_PHASE_PS_SIM_STR_CACHE {} PRIORITY_PORT_3 1 PRIORITY_PORT_2 1 PRIORITY_PORT_1 1 PRIORITY_PORT_0 1 periph_pll_c0_auto 511 l4_mp_clk_mhz 100.0 desired_can1_clk_hz 100000000 MEM_VENDOR JEDEC device_pll_info_auto {{320000000 1850000000} {320000000 1000000000} {925000000 400000000 400000000}} FORCED_NON_LDC_ADDR_CMD_MEM_CK_INVERT false CFG_MEM_CLK_ENTRY_CYCLES 10 JAVA_USB0_DATA {USB0 {signals_by_mode {SDR {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} {SDR without external clock} {D0 D1 D2 D3 D4 D5 D6 D7 STP DIR NXT}} pin_sets {{HPS I/O Set 0} {locations {PIN_P25A0T PIN_P25B0T PIN_P25A1T PIN_P25B1T PIN_P26A0T PIN_P26B0T PIN_P26A1T PIN_P26B1T PIN_P27A0T PIN_P27B0T PIN_P27A1T PIN_P27B1T} signals {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} signal_parts {{USB_ULPI_DATA_I(0:0) USB_ULPI_DATA_O(0:0) USB_ULPI_DATA_OE(0:0)} {USB_ULPI_DATA_I(1:1) USB_ULPI_DATA_O(1:1) USB_ULPI_DATA_OE(1:1)} {USB_ULPI_DATA_I(2:2) USB_ULPI_DATA_O(2:2) USB_ULPI_DATA_OE(2:2)} {USB_ULPI_DATA_I(3:3) USB_ULPI_DATA_O(3:3) USB_ULPI_DATA_OE(3:3)} {USB_ULPI_DATA_I(4:4) USB_ULPI_DATA_O(4:4) USB_ULPI_DATA_OE(4:4)} {USB_ULPI_DATA_I(5:5) USB_ULPI_DATA_O(5:5) USB_ULPI_DATA_OE(5:5)} {USB_ULPI_DATA_I(6:6) USB_ULPI_DATA_O(6:6) USB_ULPI_DATA_OE(6:6)} {USB_ULPI_DATA_I(7:7) USB_ULPI_DATA_O(7:7) USB_ULPI_DATA_OE(7:7)} {USB_ULPI_CLK(0:0) {} {}} {{} USB_ULPI_STP(0:0) {}} {USB_ULPI_DIR(0:0) {} {}} {USB_ULPI_NXT(0:0) {} {}}} mux_selects {2 2 2 2 2 2 2 2 2 2 2 2} valid_modes {SDR {SDR without external clock}} pins {FLASHIO0 FLASHIO1 FLASHIO2 FLASHIO3 FLASHIO4 FLASHIO5 FLASHIO6 FLASHIO7 FLASHIO8 FLASHIO9 FLASHIO10 FLASHIO11}}}}} SPIS0_Mode N/A ALTMEMPHY_COMPATIBLE_MODE false MEM_FORMAT DISCRETE USB1_PinMuxing Unused CORE_DEBUG_CONNECTION EXPORT ENUM_CPORT2_RFIFO_MAP FIFO_0 PLL_AFI_PHY_CLK_FREQ_SIM_STR_PARAM {} PLL_C2P_WRITE_CLK_DIV_CACHE 0 DQS_DELAY_CHAIN_PHASE_SETTING 0 CTL_USR_REFRESH_EN false ENUM_RD_PORT_INFO_5 USE_NO ENUM_RD_PORT_INFO_4 USE_NO ENUM_RD_PORT_INFO_3 USE_NO ENUM_RD_PORT_INFO_2 USE_NO ENUM_MEM_IF_TRRD TRRD_3 ENUM_RD_PORT_INFO_1 USE_NO ENUM_RD_PORT_INFO_0 USE_NO ENUM_PRIORITY_6_5 WEIGHT_0 ENUM_PRIORITY_6_4 WEIGHT_0 INTG_EXTRA_CTL_CLK_RD_TO_RD_DIFF_CHIP 0 ENUM_PRIORITY_6_3 WEIGHT_0 ENUM_PRIORITY_6_2 WEIGHT_0 ENUM_PRIORITY_6_1 WEIGHT_0 ENUM_PRIORITY_6_0 WEIGHT_0 AVL_NUM_SYMBOLS_PORT_5 1 S2F_Width 1 AVL_NUM_SYMBOLS_PORT_4 1 AVL_NUM_SYMBOLS_PORT_3 1 AVL_NUM_SYMBOLS_PORT_2 1 show_advanced_parameters false AVL_NUM_SYMBOLS_PORT_1 1 ENABLE_NON_DES_CAL false AVL_NUM_SYMBOLS_PORT_0 1 ENUM_CPORT5_WFIFO_MAP FIFO_0 JAVA_I2C2_DATA {I2C2 {signals_by_mode {I2C {SDA SCL} {Used by EMAC0} {SDA SCL}} pin_sets {{HPS I/O Set 0} {locations {PIN_P29A1T PIN_P29B1T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} valid_modes {I2C {Used by EMAC0}} mux_selects {1 1} pins {EMACIO6 EMACIO7}}}}} RDIMM_CONFIG 0000000000000000 PLL_HR_CLK_FREQ_CACHE 0.0 TB_PLL_DLL_MASTER true MEM_PD {DLL off} main_pll_c2_internal_auto 4 S2FCLK_USER0CLK_FREQ 100.0 MR2_CWL 1 PLL_P2C_READ_CLK_DIV_PARAM 0 S2FCLK_USER2CLK 5 USE_LDC_FOR_ADDR_CMD false ENUM_CPORT4_RDY_ALMOST_FULL NOT_FULL NUM_WRITE_FR_CYCLE_SHIFTS 0 AP_MODE false ENUM_WFIFO0_CPORT_MAP CMD_PORT_0 CV_AVL_ADDR_WIDTH_PORT_5 1 CV_AVL_ADDR_WIDTH_PORT_4 1 CAN0_PinMuxing Unused CV_AVL_ADDR_WIDTH_PORT_3 1 CV_AVL_ADDR_WIDTH_PORT_2 1 PHY_VERSION_NUMBER 171 ENUM_STATIC_WEIGHT_5 WEIGHT_0 CV_AVL_ADDR_WIDTH_PORT_1 1 ENUM_STATIC_WEIGHT_4 WEIGHT_0 FAST_SIM_CALIBRATION false CV_AVL_ADDR_WIDTH_PORT_0 1 ENUM_STATIC_WEIGHT_3 WEIGHT_0 ENUM_STATIC_WEIGHT_2 WEIGHT_0 MEM_VERBOSE true ENUM_STATIC_WEIGHT_1 WEIGHT_0 ENUM_STATIC_WEIGHT_0 WEIGHT_0 ENUM_LOCAL_IF_CS_WIDTH ADDR_WIDTH_0 CV_AVL_NUM_SYMBOLS_PORT_5 1 CTL_SELF_REFRESH 0 CV_AVL_NUM_SYMBOLS_PORT_4 1 periph_pll_m_auto 19 PLL_AFI_PHY_CLK_FREQ_SIM_STR_CACHE {} CV_AVL_NUM_SYMBOLS_PORT_3 1 ENABLE_CSR_SOFT_RESET_REQ true CV_AVL_NUM_SYMBOLS_PORT_2 1 CV_AVL_NUM_SYMBOLS_PORT_1 1 DQS_EN_DELAY_MAX 31 CV_AVL_NUM_SYMBOLS_PORT_0 1 P2C_READ_CLOCK_ADD_PHASE_CACHE 0.0 ENUM_MEM_IF_DWIDTH MEM_IF_DWIDTH_8 PLL_CONFIG_CLK_FREQ_SIM_STR_PARAM {} CUT_NEW_FAMILY_TIMING true CV_ENUM_CPORT4_RFIFO_MAP FIFO_0 can0_clk_mhz 6.25 IO_OUT2_DELAY_MAX 0 NUM_OCT_SHARING_INTERFACES 1 PLL_DR_CLK_PHASE_PS_SIM_STR {} periph_pll_source 0 HPS_PROTOCOL DDR3 PLL_HR_CLK_PHASE_PS_PARAM 0 main_pll_c1_internal_auto 4 PLL_ADDR_CMD_CLK_PHASE_PS_SIM 2500 MEM_MIRROR_ADDRESSING 0 main_pll_c4_auto 511 CTL_ECC_MULTIPLES_40_72 1 FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_I2C0_SCL_IN 100 PLL_CLK_CACHE_VALID true ENUM_RFIFO2_CPORT_MAP CMD_PORT_0 PLL_P2C_READ_CLK_DIV_CACHE 0 main_pll_m_auto 36 ENUM_MMR_CFG_MEM_BL MP_BL_8 LDC_FOR_ADDR_CMD_MEM_CK_CPS_PHASE 0 REFRESH_INTERVAL 15000 ENUM_MEM_IF_CS_PER_RANK MEM_IF_CS_PER_RANK_1 PLL_WRITE_CLK_FREQ 300.0 ENUM_CPORT1_TYPE DISABLE ENUM_READ_ODT_CHIP ODT_DISABLED CV_ENUM_WFIFO2_CPORT_MAP CMD_PORT_0 SEQ_BURST_COUNT_WIDTH 2 MEM_VOLTAGE {1.5V DDR3} MR2_SRT 0 PLL_MEM_CLK_MULT_PARAM 0 MEM_ROW_ADDR_WIDTH 12 INTG_EXTRA_CTL_CLK_SRF_TO_VALID 0 desired_l4_mp_clk_mhz 100.0 CV_ENUM_RD_DWIDTH_5 DWIDTH_0 CV_ENUM_CPORT1_WFIFO_MAP FIFO_0 CV_ENUM_RD_DWIDTH_4 DWIDTH_0 CV_ENUM_RD_DWIDTH_3 DWIDTH_0 nand_clk_source 2 PLL_AFI_HALF_CLK_PHASE_PS_SIM 0 CV_ENUM_RD_DWIDTH_2 DWIDTH_0 l4_mp_clk_div_auto 0 CV_ENUM_RD_DWIDTH_1 DWIDTH_0 CV_ENUM_RD_DWIDTH_0 DWIDTH_0 PLL_CONFIG_CLK_FREQ_SIM_STR_CACHE {} main_pll_c0_internal_auto 1 MR2_SRF 0 ENUM_DISABLE_MERGING MERGING_ENABLED USER_DEBUG_LEVEL 1 PLL_HR_CLK_PHASE_PS_CACHE 0 ENUM_CTL_ECC_ENABLED CTL_ECC_DISABLED PLL_AFI_PHY_CLK_PHASE_DEG 0.0 gpio_db_clk_hz 5 F2H_SDRAM5_CLOCK_FREQ 100 ENUM_WRITE_ODT_CHIP ODT_DISABLED MR0_BT 0 PLL_CONFIG_CLK_FREQ 20.0 ENUM_ATTR_COUNTER_ONE_RESET DISABLED ENUM_CPORT5_RDY_ALMOST_FULL NOT_FULL MR1_RTT 0 periph_qspi_clk_mhz 1.953125 MR0_BL 1 HARD_PHY true DEBUGAPB_Enable false INTG_EXTRA_CTL_CLK_RD_TO_WR_BC 2 PLL_ADDR_CMD_CLK_FREQ_STR {300.0 MHz} MEM_TRTP_NS 7.5 FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_SDIO_CCLK 100 PLL_MEM_CLK_PHASE_PS_SIM_STR {0 ps} PLL_P2C_READ_CLK_FREQ_SIM_STR_PARAM {} desired_l4_sp_clk_hz 100000000 PLL_MEM_CLK_MULT_CACHE 24 STARVE_LIMIT 10 PLL_C2P_WRITE_CLK_PHASE_PS 0 CFG_ERRCMD_FIFO_REG 0 ED_EXPORT_SEQ_DEBUG false dbg_at_clk_mhz 50.0 AVL_PORT {{Port 0}} PLL_HR_CLK_PHASE_DEG 0.0 S2FINTERRUPT_SPIMASTER_Enable false ENABLE_ABS_RAM_MEM_INIT false DUPLICATE_PLL_FOR_PHY_CLK true MEM_RTT_WR {Dynamic ODT off} TIMING_TDQSCK 400 REF_CLK_FREQ_CACHE 125.0 AC_ROM_MR0_DLL_RESET 0001100110000 ENUM_MEM_IF_COLADDR_WIDTH ADDR_WIDTH_8 ENUM_DELAY_BONDING BONDING_LATENCY_0 STM_Enable false PLL_AFI_CLK_PHASE_PS 0 INTG_EXTRA_CTL_CLK_RD_AP_TO_VALID 0 MAX10_CFG false LSB_WFIFO_PORT_5 5 LSB_WFIFO_PORT_4 5 LSB_WFIFO_PORT_3 5 LSB_WFIFO_PORT_2 5 LSB_WFIFO_PORT_1 5 LSB_WFIFO_PORT_0 5 JAVA_UART0_DATA {UART0 {signals_by_mode {{Flow Control} {RX TX CTS RTS} {No Flow Control} {RX TX}} pin_sets {{HPS I/O Set 2} {locations {PIN_P18B0T PIN_P18A1T PIN_P16B0T PIN_P16A1T} signals {RX TX CTS RTS} signal_parts {{UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}} {UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}}} mux_selects {2 2 1 1} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO17 GENERALIO18 GENERALIO9 GENERALIO10}} {HPS I/O Set 1} {locations {PIN_P17B0T PIN_P17A1T PIN_P16B0T PIN_P16A1T} signals {RX TX CTS RTS} signal_parts {{UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}} {UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}}} mux_selects {3 3 1 1} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO13 GENERALIO14 GENERALIO9 GENERALIO10}} {HPS I/O Set 0} {locations {PIN_P14B0T PIN_P14A1T PIN_P16B0T PIN_P16A1T} signals {RX TX CTS RTS} signal_parts {{UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}} {UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}}} mux_selects {1 1 1 1} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO1 GENERALIO2 GENERALIO9 GENERALIO10}}}}} PLL_C2P_WRITE_CLK_PHASE_PS_PARAM 0 INTG_EXTRA_CTL_CLK_ARF_TO_VALID 0 PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR {} PLL_AFI_PHY_CLK_PHASE_PS 0 NUM_DLL_SHARING_INTERFACES 1 JAVA_CAN0_DATA {CAN0 {signals_by_mode {CAN {RX TX}} pin_sets {{HPS I/O Set 1} {locations {PIN_P18B0T PIN_P18A1T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {3 3} valid_modes CAN pins {GENERALIO17 GENERALIO18}} {HPS I/O Set 0} {locations {PIN_P17B0T PIN_P17A1T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {2 2} valid_modes CAN pins {GENERALIO13 GENERALIO14}}}}} PLL_AFI_HALF_CLK_PHASE_DEG_SIM 0.0 PLL_NIOS_CLK_FREQ_SIM_STR {16670 ps} ENUM_THLD_JAR2_5 THRESHOLD_16 USE_SEQUENCER_BFM false ENUM_THLD_JAR2_4 THRESHOLD_16 PLL_HR_CLK_FREQ_SIM_STR {0 ps} ENUM_THLD_JAR2_3 THRESHOLD_16 ENUM_THLD_JAR2_2 THRESHOLD_16 ENUM_THLD_JAR2_1 THRESHOLD_16 TIMING_BOARD_READ_DQ_EYE_REDUCTION_APPLIED 0.0 ENABLE_EXTRA_REPORTING false ENUM_THLD_JAR2_0 THRESHOLD_16 AC_ROM_MR0_MIRR 0001001001001 INTG_EXTRA_CTL_CLK_ACT_TO_RDWR 0 ENABLE_NON_DESTRUCTIVE_CALIB false PLL_P2C_READ_CLK_FREQ_SIM_STR_CACHE {} ENUM_MEM_IF_MEMTYPE DDR3_SDRAM quartus_ini_hps_ip_enable_low_speed_serial_fpga_interfaces false MEM_IF_WR_TO_RD_TURNAROUND_OCT 3 l4_sp_clk_mhz 100.0 ENABLE_MAX_SIZE_SEQ_MEM false quartus_ini_hps_ip_suppress_sdram_synth false device_pll_info_manual {{320000000 1600000000} {320000000 1000000000} {800000000 400000000 400000000}} ENUM_WFIFO0_RDY_ALMOST_FULL NOT_FULL H2F_DEBUG_APB_CLOCK_FREQ 100 FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_EMAC1_GTX_CLK 125 DLL_OFFSET_CTRL_WIDTH 6 CFG_REORDER_DATA true GPIO_Name_DERIVED {GPIO00 GPIO01 GPIO02 GPIO03 GPIO04 GPIO05 GPIO06 GPIO07 GPIO08 GPIO09 GPIO10 GPIO11 GPIO12 GPIO13 GPIO14 GPIO15 GPIO16 GPIO17 GPIO18 GPIO19 GPIO20 GPIO21 GPIO22 GPIO23 GPIO24 GPIO25 GPIO26 GPIO27 GPIO28 GPIO29 GPIO30 GPIO31 GPIO32 GPIO33 GPIO34 GPIO35 GPIO36 GPIO37 GPIO38 GPIO39 GPIO40 GPIO41 GPIO42 GPIO43 GPIO44 GPIO45 GPIO46 GPIO47 GPIO48 GPIO49 GPIO50 GPIO51 GPIO52 GPIO53 GPIO54 GPIO55 GPIO56 GPIO57 GPIO58 GPIO59 GPIO60 GPIO61 GPIO62 GPIO63 GPIO64 GPIO65 GPIO66} PARSE_FRIENDLY_DEVICE_FAMILY_CACHE_VALID true USE_ALL_AFI_PHASES_FOR_COMMAND_ISSUE false CALIB_LFIFO_OFFSET 8 TIMING_BOARD_AC_SLEW_RATE 1.0 DLL_DELAY_CTRL_WIDTH 7 PLL_DR_CLK_PHASE_PS_STR {} TIMING_BOARD_SKEW_BETWEEN_DIMMS 0.05 ENUM_RD_DWIDTH_5 DWIDTH_0 ENUM_RD_DWIDTH_4 DWIDTH_0 ENUM_RD_DWIDTH_3 DWIDTH_0 ENUM_RD_DWIDTH_2 DWIDTH_0 ENUM_RD_DWIDTH_1 DWIDTH_0 ENUM_RD_DWIDTH_0 DWIDTH_0 FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_EMAC0_MD_CLK 2.5 PLL_C2P_WRITE_CLK_PHASE_PS_CACHE 0 SOPC_COMPAT_RESET false PLL_AFI_CLK_FREQ_STR {300.0 MHz} CSR_DATA_WIDTH 8 PLL_AFI_CLK_FREQ_SIM_STR_PARAM {} I2C0_PinMuxing Unused MEM_TREFI 2101 VFIFO_AS_SHIFT_REG true S2FCLK_USER2CLK_FREQ_HZ 100000000 PLL_WRITE_CLK_MULT 24 CV_INTG_RCFG_SUM_WT_PRIORITY_7 0 CV_INTG_RCFG_SUM_WT_PRIORITY_6 0 CTL_WR_TO_WR_DIFF_CHIP_EXTRA_CLK 2 dbg_trace_clk_mhz 50.0 CV_INTG_RCFG_SUM_WT_PRIORITY_5 0 CV_INTG_RCFG_SUM_WT_PRIORITY_4 0 FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_I2C1_CLK 100 TIMING_BOARD_SKEW_CKDQS_DIMM_MIN_APPLIED -0.01 CV_INTG_RCFG_SUM_WT_PRIORITY_3 0 PLL_AFI_PHY_CLK_FREQ_STR {} CV_INTG_RCFG_SUM_WT_PRIORITY_2 0 CV_INTG_RCFG_SUM_WT_PRIORITY_1 0 CV_INTG_RCFG_SUM_WT_PRIORITY_0 0 ENUM_CPORT5_RFIFO_MAP FIFO_0 ENUM_CTL_ECC_RMW_ENABLED CTL_ECC_RMW_DISABLED PLL_AFI_PHY_CLK_FREQ_SIM_STR {3334 ps} PLL_AFI_HALF_CLK_PHASE_PS 0 PLL_NIOS_CLK_PHASE_PS 0 IO_DQS_IN_RESERVE 4 CV_ENUM_CPORT3_TYPE DISABLE MEM_TMRD_CK 3 PLL_AFI_CLK_PHASE_PS_STR {0 ps} PLL_DR_CLK_PHASE_PS_PARAM 0 DQS_PHASE_SHIFT 0 periph_pll_c2_auto 511 MEM_BT Sequential HLGPI_Enable false NEGATIVE_WRITE_CK_PHASE true ENABLE_ABS_RAM_INTERNAL false main_clk_mhz 370.0 MEM_BL OTF PLL_CONFIG_CLK_MULT 0 CALIB_VFIFO_OFFSET 6 TG_TEMP_PORT_5 0 TG_TEMP_PORT_4 0 ENUM_MEM_IF_TRCD TRCD_5 DMA_Enable {No No No No No No No No} TG_TEMP_PORT_3 0 TG_TEMP_PORT_2 0 SPIS1_PinMuxing Unused TG_TEMP_PORT_1 0 F2H_AXI_CLOCK_FREQ 100 TG_TEMP_PORT_0 0 MEM_TYPE DDR3 PLL_NIOS_CLK_PHASE_PS_PARAM 0 TIMING_BOARD_TDH_APPLIED 0.225 NON_LDC_ADDR_CMD_MEM_CK_INVERT false MR1_WR 1 FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_USB1_CLK_IN 100 MR1_WL 0 TIMING_BOARD_DQ_EYE_REDUCTION_APPLIED 0.0 PLL_AFI_CLK_FREQ_SIM_STR_CACHE {3334 ps} emac1_clk_mhz 1.953125 ENUM_WFIFO3_CPORT_MAP CMD_PORT_0 ENUM_SYNC_MODE_5 ASYNCHRONOUS ENUM_SYNC_MODE_4 ASYNCHRONOUS MR1_WC 0 ENUM_SYNC_MODE_3 ASYNCHRONOUS ENUM_SYNC_MODE_2 ASYNCHRONOUS MEM_TINIT_US 499 ENUM_SYNC_MODE_1 ASYNCHRONOUS ENUM_SYNC_MODE_0 ASYNCHRONOUS PLL_MEM_CLK_DIV_PARAM 0 MEM_ATCL Disabled PLL_CONFIG_CLK_PHASE_DEG_SIM 0.0 ENUM_CPORT2_WFIFO_MAP FIFO_0 S2FCLK_USER0CLK_Enable false DMA_PeriphId_DERIVED {0 1 2 3 4 5 6 7} CTL_RD_TO_RD_DIFF_CHIP_EXTRA_CLK 1 CFG_INTERFACE_WIDTH 8 TIMING_BOARD_SKEW_WITHIN_DQS 0.02 ENUM_MEM_IF_TRAS TRAS_13 PLL_ADDR_CMD_CLK_PHASE_DEG 270.0 PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR {0 ps} USE_HARD_READ_FIFO false MR1_ODS 0 SPEED_GRADE 7 ENABLE_NIOS_JTAG_UART false SPIM0_Mode N/A AFI_CONTROL_WIDTH 2 TIMING_BOARD_AC_SKEW 0.02 PLL_DR_CLK_PHASE_PS_CACHE 0 MR0_CAS_LATENCY 3 H2F_LW_AXI_CLOCK_FREQ 100 PLL_C2P_WRITE_CLK_PHASE_PS_STR {} ADD_EXTERNAL_SEQ_DEBUG_NIOS false ENABLE_NON_DES_CAL_TEST false INTG_EXTRA_CTL_CLK_PDN_TO_VALID 0 PLL_NIOS_CLK_DIV_PARAM 0 PLL_AFI_HALF_CLK_FREQ_STR {300.0 MHz} PLL_NIOS_CLK_FREQ_STR {} F2S_Width 0 PHY_CLKBUF false desired_l4_sp_clk_mhz 100.0 PLL_WRITE_CLK_PHASE_PS_STR {2500 ps} PLL_NIOS_CLK_PHASE_PS_CACHE 0 ENUM_SINGLE_READY_3 CONCATENATE_RDY USE_FAKE_PHY_INTERNAL false ENUM_SINGLE_READY_2 CONCATENATE_RDY ENUM_SINGLE_READY_1 CONCATENATE_RDY ENUM_RFIFO0_CPORT_MAP CMD_PORT_0 ENUM_SINGLE_READY_0 CONCATENATE_RDY INTG_EXTRA_CTL_CLK_RD_TO_PCH 0 REGISTER_C2P false can1_clk_hz 6250000 CV_PORT_0_CONNECT_TO_AV_PORT 0 emac1_clk_hz 1953125 eosc2_clk_mhz 50.0 PLL_MEM_CLK_DIV_CACHE 10 periph_base_clk_mhz 100.0 PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR_PARAM {} EMAC1_PTP false quartus_ini_hps_ip_fast_f2sdram_sim_model false PLL_AFI_CLK_DIV_PARAM 0 PLL_C2P_WRITE_CLK_MULT_PARAM 0 PLL_C2P_WRITE_CLK_FREQ 0.0 PLL_C2P_WRITE_CLK_FREQ_PARAM 0.0 MR1_RDQS 0 MEM_AUTO_LEVELING_MODE true CV_ENUM_CPORT4_WFIFO_MAP FIFO_0 mpu_base_clk_mhz 925.0 ENUM_CFG_INTERFACE_WIDTH DWIDTH_8 CFG_TCCD_NS 2.5 TIMING_BOARD_AC_EYE_REDUCTION_SU 0.0 NUM_SUBGROUP_PER_READ_DQS 1 TRFC 350 CALIBRATION_MODE Skip C2P_WRITE_CLOCK_ADD_PHASE 0.0 MEM_T_WL 6 PLL_NIOS_CLK_DIV_CACHE 0 FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC0_TX_CLK_IN 100 TIMING_BOARD_TIH_APPLIED 0.35 PLL_AFI_HALF_CLK_FREQ_SIM_STR_PARAM {} FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_I2C3_SCL_IN 100 EMAC1_PinMuxing Unused INTG_EXTRA_CTL_CLK_FOUR_ACT_TO_ACT 0 can1_clk_div 1 MEM_CLK_FREQ_CACHE 300.0 ENUM_CPORT3_TYPE DISABLE TIMING_BOARD_AC_EYE_REDUCTION_H 0.0 PLL_HR_CLK_PHASE_PS_SIM_STR_PARAM {} MR2_RLWL 1 REF_CLK_FREQ 125.0 desired_cfg_clk_hz 100000000 desired_spi_m_clk_hz 200000000 main_qspi_clk_hz 3613281 CV_ENUM_RFIFO2_CPORT_MAP CMD_PORT_0 ENUM_ENABLE_BONDING_5 DISABLED TIMING_BOARD_AC_SLEW_RATE_APPLIED 1.0 PLL_P2C_READ_CLK_FREQ_SIM_STR {0 ps} ENUM_ENABLE_BONDING_4 DISABLED ENUM_ENABLE_BONDING_3 DISABLED ENUM_ENABLE_BONDING_2 DISABLED ENUM_ENABLE_BONDING_1 DISABLED PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR_CACHE {0 ps} ENUM_ENABLE_BONDING_0 DISABLED PLL_AFI_CLK_DIV_CACHE 10 PLL_C2P_WRITE_CLK_MULT_CACHE 0 CV_ENUM_PRIORITY_2_5 WEIGHT_0 CV_ENUM_PRIORITY_2_4 WEIGHT_0 CFG_SELF_RFSH_EXIT_CYCLES 512 PLL_C2P_WRITE_CLK_FREQ_CACHE 0.0 CV_ENUM_PRIORITY_2_3 WEIGHT_0 PLL_MEM_CLK_PHASE_PS_SIM_STR_PARAM {} DB_periph_ifaces {USB0 {atom_name hps_interface_peripheral_usb interfaces {@orderednames {usb0 usb0_clk_in} usb0 {@no_export 0 properties {} type conduit direction Input} usb0_clk_in {@no_export 0 properties {} type clock direction Input}}} UART1 {atom_name hps_interface_peripheral_uart interfaces {@orderednames uart1 uart1 {@no_export 0 properties {} type conduit direction Input}}} UART0 {atom_name hps_interface_peripheral_uart interfaces {@orderednames uart0 uart0 {@no_export 0 properties {} type conduit direction Input}}} SDIO {atom_name hps_interface_peripheral_sdmmc interfaces {sdio_cclk {@no_export 0 properties {} type clock direction Output} sdio {@no_export 0 properties {} type conduit direction Input} @orderednames {sdio sdio_reset sdio_cclk} sdio_reset {@no_export 0 properties {synchronousEdges none} type reset direction Output}}} I2C3 {atom_name hps_interface_peripheral_i2c interfaces {i2c3_clk {@no_export 0 properties {} type clock direction Output} @orderednames {i2c3_scl_in i2c3_clk i2c3} i2c3 {@no_export 0 properties {} type conduit direction Input} i2c3_scl_in {@no_export 0 properties {} type clock direction Input}}} I2C2 {atom_name hps_interface_peripheral_i2c interfaces {@orderednames {i2c2_scl_in i2c2_clk i2c2} i2c2 {@no_export 0 properties {} type conduit direction Input} i2c2_clk {@no_export 0 properties {} type clock direction Output} i2c2_scl_in {@no_export 0 properties {} type clock direction Input}}} I2C1 {atom_name hps_interface_peripheral_i2c interfaces {i2c1_clk {@no_export 0 properties {} type clock direction Output} @orderednames {i2c1_scl_in i2c1_clk i2c1} i2c1 {@no_export 0 properties {} type conduit direction Input} i2c1_scl_in {@no_export 0 properties {} type clock direction Input}}} I2C0 {atom_name hps_interface_peripheral_i2c interfaces {@orderednames {i2c0_scl_in i2c0_clk i2c0} i2c0_clk {@no_export 0 properties {} type clock direction Output} i2c0 {@no_export 0 properties {} type conduit direction Input} i2c0_scl_in {@no_export 0 properties {} type clock direction Input}}} @orderednames {EMAC0 EMAC1 NAND QSPI SDIO USB0 USB1 SPIM0 SPIM1 SPIS0 SPIS1 UART0 UART1 I2C0 I2C1 I2C2 I2C3 CAN0 CAN1} CAN1 {atom_name hps_interface_peripheral_can interfaces {can1 {@no_export 0 properties {} type conduit direction Input} @orderednames can1}} CAN0 {atom_name hps_interface_peripheral_can interfaces {can0 {@no_export 0 properties {} type conduit direction Input} @orderednames can0}} QSPI {atom_name hps_interface_peripheral_qspi interfaces {qspi {@no_export 0 properties {} type conduit direction Input} @orderednames {qspi_sclk_out qspi} qspi_sclk_out {@no_export 0 properties {} type clock direction Output}}} SPIM1 {atom_name hps_interface_peripheral_spi_master interfaces {spim1_sclk_out {@no_export 0 properties {} type clock direction Output} @orderednames {spim1 spim1_sclk_out} spim1 {@no_export 0 properties {} type conduit direction Input}}} NAND {atom_name hps_interface_peripheral_nand interfaces {@orderednames nand nand {@no_export 0 properties {} type conduit direction Input}}} SPIM0 {atom_name hps_interface_peripheral_spi_master interfaces {spim0_sclk_out {@no_export 0 properties {} type clock direction Output} @orderednames {spim0 spim0_sclk_out} spim0 {@no_export 0 properties {} type conduit direction Input}}} SPIS1 {atom_name hps_interface_peripheral_spi_slave interfaces {spis1_sclk_in {@no_export 0 properties {} type clock direction Input} @orderednames {spis1 spis1_sclk_in} spis1 {@no_export 0 properties {} type conduit direction Input}}} SPIS0 {atom_name hps_interface_peripheral_spi_slave interfaces {spis0_sclk_in {@no_export 0 properties {} type clock direction Input} @orderednames {spis0 spis0_sclk_in} spis0 {@no_export 0 properties {} type conduit direction Input}}} EMAC1 {atom_name hps_interface_peripheral_emac interfaces {emac1_tx_clk_in {@no_export 0 properties {} type clock direction Input} emac1_rx_clk_in {@no_export 0 properties {} type clock direction Input} emac1_tx_reset {@no_export 0 properties {associatedClock emac1_tx_clk_in associatedResetSinks none} type reset direction Output} @orderednames {emac1 emac1_md_clk emac1_rx_clk_in emac1_tx_clk_in emac1_gtx_clk emac1_tx_reset emac1_rx_reset} emac1_rx_reset {@no_export 0 properties {associatedClock emac1_rx_clk_in associatedResetSinks none} type reset direction Output} emac1_md_clk {@no_export 0 properties {} type clock direction Output} emac1_gtx_clk {@no_export 0 properties {} type clock direction Output} emac1 {@no_export 0 properties {} type conduit direction Input}}} EMAC0 {atom_name hps_interface_peripheral_emac interfaces {emac0_rx_reset {@no_export 0 properties {associatedClock emac0_rx_clk_in associatedResetSinks none} type reset direction Output} @orderednames {emac0 emac0_md_clk emac0_rx_clk_in emac0_tx_clk_in emac0_gtx_clk emac0_tx_reset emac0_rx_reset} emac0_tx_reset {@no_export 0 properties {associatedClock emac0_tx_clk_in associatedResetSinks none} type reset direction Output} emac0_md_clk {@no_export 0 properties {} type clock direction Output} emac0_gtx_clk {@no_export 0 properties {} type clock direction Output} emac0 {@no_export 0 properties {} type conduit direction Input} emac0_tx_clk_in {@no_export 0 properties {} type clock direction Input} emac0_rx_clk_in {@no_export 0 properties {} type clock direction Input}}} USB1 {atom_name hps_interface_peripheral_usb interfaces {@orderednames {usb1 usb1_clk_in} usb1 {@no_export 0 properties {} type conduit direction Input} usb1_clk_in {@no_export 0 properties {} type clock direction Input}}}} CV_ENUM_PRIORITY_2_2 WEIGHT_0 CV_ENUM_PRIORITY_2_1 WEIGHT_0 CV_ENUM_PRIORITY_2_0 WEIGHT_0 INTG_EXTRA_CTL_CLK_ACT_TO_PCH 0 ADDR_ORDER 0 periph_nand_sdmmc_clk_hz 1953125 CTL_HRB_ENABLED false TB_MEM_IF_READ_DQS_WIDTH 1 ENABLE_LDC_MEM_CK_ADJUSTMENT false MR3_MPR 0 IO_DQS_EN_DELAY_OFFSET 0 h2f_user0_clk_mhz 97.368421 ENUM_ENABLE_FAST_EXIT_PPD DISABLED CFG_PDN_EXIT_CYCLES 10 DELAY_CHAIN_LENGTH 8 COMMAND_PHASE 0.0 ENUM_USER_ECC_EN DISABLE CTL_ENABLE_WDATA_PATH_LATENCY false USE_AXI_ADAPTOR false PLL_AFI_CLK_PHASE_PS_SIM_STR {0 ps} MEM_CLK_TO_DQS_CAPTURE_DELAY 100000 PLL_AFI_HALF_CLK_FREQ_SIM_STR_CACHE {6668 ps} MAKE_INTERNAL_NIOS_VISIBLE false PLL_DR_CLK_PHASE_PS_SIM 0 HCX_COMPAT_MODE_CACHE false CV_ENUM_PORT1_WIDTH PORT_32_BIT qspi_clk_mhz 3.613281 PLL_HR_CLK_PHASE_PS_SIM_STR_CACHE {} CV_ENUM_WR_PORT_INFO_5 USE_NO CV_ENUM_WR_PORT_INFO_4 USE_NO CV_ENUM_WR_PORT_INFO_3 USE_NO ENUM_ENABLE_PIPELINEGLOBAL DISABLED CV_ENUM_WR_PORT_INFO_2 USE_NO CV_ENUM_WR_PORT_INFO_1 USE_NO CV_ENUM_WR_PORT_INFO_0 USE_NO GENERIC_PLL true CTL_ECC_MULTIPLES_16_24_40_72 1 FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_SPIS0_SCLK_IN 100 AUTO_PD_CYCLES 0 PLL_MEM_CLK_PHASE_PS_STR {0 ps} MEM_TFAW 12 S2FINTERRUPT_DMA_Enable false LRDIMM false AFI_DM_WIDTH 2 CTL_ENABLE_BURST_TERMINATE_INT false PLL_MEM_CLK_PHASE_PS_SIM_STR_CACHE {0 ps} CV_ENUM_PORT0_WIDTH PORT_32_BIT PLL_AFI_HALF_CLK_PHASE_DEG 0.0 PLL_CONFIG_CLK_PHASE_DEG 0.0 F2H_SDRAM1_CLOCK_FREQ 100 ENUM_PRIORITY_2_5 WEIGHT_0 MEM_T_RL 7 ENUM_PRIORITY_2_4 WEIGHT_0 ENUM_PRIORITY_2_3 WEIGHT_0 ENUM_PRIORITY_2_2 WEIGHT_0 ENUM_PRIORITY_2_1 WEIGHT_0 ENUM_PRIORITY_2_0 WEIGHT_0 MEM_IF_CS_WIDTH 1 PLL_AFI_CLK_PHASE_PS_SIM 0 nand_x_clk_hz 1953125 MR0_DLL 1 CORE_PERIPHERY_DUAL_CLOCK false DB_bfm_types {} periph_pll_vco_auto_mhz 1000.0 NAND_Mode N/A PLL_MEM_CLK_PHASE_PS 0 REF_CLK_FREQ_PARAM_VALID false DUPLICATE_AC false CPORT_TYPE_PORT {Bidirectional Bidirectional Bidirectional Bidirectional Bidirectional Bidirectional} gpio_db_clk_div_auto 16777215 H2F_CTI_CLOCK_FREQ 100 CFG_ENABLE_NO_DM 0 MEM_DQ_PER_DQS 8 AC_ROM_MR2_MIRR 0000000010000 MEM_IF_CS_PER_DIMM 1 PLL_AFI_PHY_CLK_PHASE_DEG_SIM 0.0 AFI_RRANK_WIDTH 0 mpu_clk_hz 925000000 ENUM_MASK_DBE_INTR DISABLED F2SDRAM_CMD_PORT_USED 0x0 I2C3_PinMuxing Unused ENUM_CPORT1_RDY_ALMOST_FULL NOT_FULL PLL_PHASE_COUNTER_WIDTH 4 ADDR_CMD_DDR 1 ENUM_CTL_ADDR_ORDER CHIP_ROW_BANK_COL default_mpu_clk_hz 925000000 quartus_ini_hps_ip_enable_bsel_csel false I2C1_Mode N/A quartus_ini_hps_ip_f2sdram_bonding_out false PLL_C2P_WRITE_CLK_MULT 0 CTL_ENABLE_BURST_TERMINATE false ADD_EFFICIENCY_MONITOR false ENUM_CPORT3_RFIFO_MAP FIFO_0 ABS_RAM_MEM_INIT_FILENAME meminit CFG_CLR_INTR 0 PLL_NIOS_CLK_FREQ_SIM_STR_PARAM {} S2FINTERRUPT_EMAC_Enable false AFI_CS_WIDTH 1 CSR_ADDR_WIDTH 10 INTG_MEM_IF_TREFI 2101 CV_ENUM_PRIORITY_5_5 WEIGHT_0 CV_ENUM_PRIORITY_5_4 WEIGHT_0 MAX_LATENCY_COUNT_WIDTH 5 CV_ENUM_PRIORITY_5_3 WEIGHT_0 CV_ENUM_PRIORITY_5_2 WEIGHT_0 CV_ENUM_PRIORITY_5_1 WEIGHT_0 CV_ENUM_PRIORITY_5_0 WEIGHT_0 MEM_IF_ODT_WIDTH 1 ENUM_REORDER_DATA DATA_REORDERING MARGIN_VARIATION_TEST false DEVICE_DEPTH 1 PLL_C2P_WRITE_CLK_PHASE_PS_SIM 0 ACV_PHY_CLK_ADD_FR_PHASE 0.0 main_pll_vco_auto_hz 1850000000 NUM_PLL_SHARING_INTERFACES 1 AFI_CLK_PAIR_COUNT 1 PLL_WRITE_CLK_PHASE_PS_SIM 2500 PLL_SHARING_MODE None ENABLE_DELAY_CHAIN_WRITE false l3_sp_clk_hz 92500000 ENUM_ENABLE_BURST_TERMINATE DISABLED CV_ENUM_RCFG_USER_PRIORITY_5 PRIORITY_1 MEM_IF_BANKADDR_WIDTH 3 CV_ENUM_RCFG_USER_PRIORITY_4 PRIORITY_1 PLL_MEM_CLK_FREQ_STR {300.0 MHz} CV_ENUM_RCFG_USER_PRIORITY_3 PRIORITY_1 CV_ENUM_RCFG_USER_PRIORITY_2 PRIORITY_1 CTL_ECC_ENABLED false CV_ENUM_RCFG_USER_PRIORITY_1 PRIORITY_1 CV_ENUM_RCFG_USER_PRIORITY_0 PRIORITY_1 mpu_clk_mhz 925.0 IO_DM_OUT_RESERVE 0 ENUM_WFIFO1_CPORT_MAP CMD_PORT_0 MEM_TRTP 3 MEM_IF_RD_TO_WR_TURNAROUND_OCT 2 CAN1_PinMuxing Unused ENABLE_EMIT_BFM_MASTER false INTG_EXTRA_CTL_CLK_WR_TO_PCH 0 CV_ENUM_CPORT5_TYPE DISABLE ENUM_CPORT0_WFIFO_MAP FIFO_0 UART1_Mode N/A PLL_NIOS_CLK_PHASE_DEG_SIM 10.0 periph_pll_c4_auto 9 PLL_NIOS_CLK_FREQ_SIM_STR_CACHE {} MEM_TRFC_NS 75.0 AC_ROM_MR1_CALIB {} CV_ENUM_CPORT5_RFIFO_MAP FIFO_0 TRACKING_ERROR_TEST false POWER_OF_TWO_BUS false ENUM_ENABLE_ECC_CODE_OVERWRITES DISABLED quartus_ini_hps_ip_enable_emac0_peripheral_fpga_interface false ENUM_PRIORITY_5_5 WEIGHT_0 ENUM_PRIORITY_5_4 WEIGHT_0 ENUM_PRIORITY_5_3 WEIGHT_0 ENUM_PRIORITY_5_2 WEIGHT_0 FLY_BY false ENUM_PRIORITY_5_1 WEIGHT_0 main_nand_sdmmc_clk_hz 3613281 ENUM_PRIORITY_5_0 WEIGHT_0 ENUM_MEM_IF_CS_WIDTH MEM_IF_CS_WIDTH_1 PLL_WRITE_CLK_MULT_PARAM 0 AFI_CLK_EN_WIDTH 1 PLL_DR_CLK_DIV 0 INTG_EXTRA_CTL_CLK_WR_TO_WR 0 PLL_WRITE_CLK_FREQ_PARAM 0.0 can0_clk_div_auto 4 ENUM_PORT0_WIDTH PORT_32_BIT CFG_PORT_WIDTH_WRITE_ODT_CHIP 1 IS_ES_DEVICE false AC_ROM_MR0_CALIB {} DLL_USE_DR_CLK false ENUM_CPORT2_RDY_ALMOST_FULL NOT_FULL ENUM_RFIFO3_CPORT_MAP CMD_PORT_0 DB_iface_ports {can0 {can0_rxd {atom_signal_name rxd direction Input role rxd} @orderednames {can0_rxd can0_txd} can0_txd {atom_signal_name txd direction Output role txd}} emac0_rx_reset {@orderednames emac0_rst_clk_rx_n_o emac0_rst_clk_rx_n_o {atom_signal_name rst_clk_rx_n_o direction Output role reset_n}} emac1 {emac1_ptp_aux_ts_trig_i {atom_signal_name ptp_aux_ts_trig_i direction Input role ptp_aux_ts_trig_i} emac1_ptp_pps_o {atom_signal_name ptp_pps_o direction Output role ptp_pps_o} emac1_phy_rxer_i {atom_signal_name phy_rxer_i direction Input role phy_rxer_i} emac1_phy_col_i {atom_signal_name phy_col_i direction Input role phy_col_i} @orderednames {emac1_phy_txd_o emac1_phy_txen_o emac1_phy_txer_o emac1_phy_rxdv_i emac1_phy_rxer_i emac1_phy_rxd_i emac1_phy_col_i emac1_phy_crs_i emac1_gmii_mdo_o emac1_gmii_mdo_o_e emac1_gmii_mdi_i emac1_ptp_pps_o emac1_ptp_aux_ts_trig_i} emac1_phy_rxdv_i {atom_signal_name phy_rxdv_i direction Input role phy_rxdv_i} emac1_phy_txd_o {atom_signal_name phy_txd_o direction Output role phy_txd_o} emac1_gmii_mdo_o_e {atom_signal_name gmii_mdo_o_e direction Output role gmii_mdo_o_e} emac1_gmii_mdi_i {atom_signal_name gmii_mdi_i direction Input role gmii_mdi_i} emac1_phy_txer_o {atom_signal_name phy_txer_o direction Output role phy_txer_o} emac1_gmii_mdo_o {atom_signal_name gmii_mdo_o direction Output role gmii_mdo_o} emac1_phy_txen_o {atom_signal_name phy_txen_o direction Output role phy_txen_o} emac1_phy_rxd_i {atom_signal_name phy_rxd_i direction Input role phy_rxd_i} emac1_phy_crs_i {atom_signal_name phy_crs_i direction Input role phy_crs_i}} emac0 {emac0_phy_rxd_i {atom_signal_name phy_rxd_i direction Input role phy_rxd_i} emac0_phy_crs_i {atom_signal_name phy_crs_i direction Input role phy_crs_i} emac0_phy_rxer_i {atom_signal_name phy_rxer_i direction Input role phy_rxer_i} @orderednames {emac0_phy_txd_o emac0_phy_txen_o emac0_phy_txer_o emac0_phy_rxdv_i emac0_phy_rxer_i emac0_phy_rxd_i emac0_phy_col_i emac0_phy_crs_i emac0_gmii_mdo_o emac0_gmii_mdo_o_e emac0_gmii_mdi_i emac0_ptp_pps_o emac0_ptp_aux_ts_trig_i} emac0_ptp_pps_o {atom_signal_name ptp_pps_o direction Output role ptp_pps_o} emac0_phy_rxdv_i {atom_signal_name phy_rxdv_i direction Input role phy_rxdv_i} emac0_phy_col_i {atom_signal_name phy_col_i direction Input role phy_col_i} emac0_gmii_mdo_o_e {atom_signal_name gmii_mdo_o_e direction Output role gmii_mdo_o_e} emac0_gmii_mdi_i {atom_signal_name gmii_mdi_i direction Input role gmii_mdi_i} emac0_phy_txer_o {atom_signal_name phy_txer_o direction Output role phy_txer_o} emac0_gmii_mdo_o {atom_signal_name gmii_mdo_o direction Output role gmii_mdo_o} emac0_phy_txd_o {atom_signal_name phy_txd_o direction Output role phy_txd_o} emac0_phy_txen_o {atom_signal_name phy_txen_o direction Output role phy_txen_o} emac0_ptp_aux_ts_trig_i {atom_signal_name ptp_aux_ts_trig_i direction Input role ptp_aux_ts_trig_i}} sdio_cclk {@orderednames sdmmc_cclk_out sdmmc_cclk_out {atom_signal_name cclk_out direction Output role clk}} i2c1_clk {@orderednames i2c1_out_clk i2c1_out_clk {atom_signal_name out_clk direction Output role clk}} sdio {sdmmc_cmd_o {atom_signal_name cmd_o direction Output role cmd_o} @orderednames {sdmmc_vs_o sdmmc_pwr_ena_o sdmmc_wp_i sdmmc_cdn_i sdmmc_card_intn_i sdmmc_cmd_i sdmmc_cmd_o sdmmc_cmd_en sdmmc_data_i sdmmc_data_o sdmmc_data_en} sdmmc_cmd_i {atom_signal_name cmd_i direction Input role cmd_i} sdmmc_data_o {atom_signal_name data_o direction Output role data_o} sdmmc_card_intn_i {atom_signal_name card_intn_i direction Input role card_intn_i} sdmmc_vs_o {atom_signal_name vs_o direction Output role vs_o} sdmmc_data_en {atom_signal_name data_en direction Output role data_en} sdmmc_data_i {atom_signal_name data_i direction Input role data_i} sdmmc_cmd_en {atom_signal_name cmd_en direction Output role cmd_en} sdmmc_pwr_ena_o {atom_signal_name pwr_ena_o direction Output role pwr_ena_o} sdmmc_wp_i {atom_signal_name wp_i direction Input role wp_i} sdmmc_cdn_i {atom_signal_name cdn_i direction Input role cdn_i}} emac1_gtx_clk {@orderednames emac1_phy_txclk_o emac1_phy_txclk_o {atom_signal_name phy_txclk_o direction Output role clk}} emac0_tx_reset {@orderednames emac0_rst_clk_tx_n_o emac0_rst_clk_tx_n_o {atom_signal_name rst_clk_tx_n_o direction Output role reset_n}} usb1 {usb1_ulpi_stp {atom_signal_name stp direction Output role ulpi_stp} usb1_ulpi_dataout {atom_signal_name dataout direction Output role ulpi_dataout} usb1_ulpi_nxt {atom_signal_name nxt direction Input role ulpi_nxt} @orderednames {usb1_ulpi_dir usb1_ulpi_nxt usb1_ulpi_datain usb1_ulpi_stp usb1_ulpi_dataout usb1_ulpi_data_out_en} usb1_ulpi_dir {atom_signal_name dir direction Input role ulpi_dir} usb1_ulpi_datain {atom_signal_name datain direction Input role ulpi_datain} usb1_ulpi_data_out_en {atom_signal_name data_out_en direction Output role ulpi_data_out_en}} usb0 {usb0_ulpi_stp {atom_signal_name stp direction Output role ulpi_stp} usb0_ulpi_nxt {atom_signal_name nxt direction Input role ulpi_nxt} usb0_ulpi_dataout {atom_signal_name dataout direction Output role ulpi_dataout} @orderednames {usb0_ulpi_dir usb0_ulpi_nxt usb0_ulpi_datain usb0_ulpi_stp usb0_ulpi_dataout usb0_ulpi_data_out_en} usb0_ulpi_dir {atom_signal_name dir direction Input role ulpi_dir} usb0_ulpi_data_out_en {atom_signal_name data_out_en direction Output role ulpi_data_out_en} usb0_ulpi_datain {atom_signal_name datain direction Input role ulpi_datain}} uart1 {uart1_ri {atom_signal_name ri direction Input role ri} uart1_rxd {atom_signal_name rxd direction Input role rxd} uart1_dsr {atom_signal_name dsr direction Input role dsr} @orderednames {uart1_cts uart1_dsr uart1_dcd uart1_ri uart1_dtr uart1_rts uart1_out1_n uart1_out2_n uart1_rxd uart1_txd} uart1_out1_n {atom_signal_name out1_n direction Output role out1_n} uart1_dcd {atom_signal_name dcd direction Input role dcd} uart1_txd {atom_signal_name txd direction Output role txd} uart1_cts {atom_signal_name cts direction Input role cts} uart1_out2_n {atom_signal_name out2_n direction Output role out2_n} uart1_dtr {atom_signal_name dtr direction Output role dtr} uart1_rts {atom_signal_name rts direction Output role rts}} emac1_rx_reset {@orderednames emac1_rst_clk_rx_n_o emac1_rst_clk_rx_n_o {atom_signal_name rst_clk_rx_n_o direction Output role reset_n}} uart0 {uart0_rxd {atom_signal_name rxd direction Input role rxd} uart0_dsr {atom_signal_name dsr direction Input role dsr} @orderednames {uart0_cts uart0_dsr uart0_dcd uart0_ri uart0_dtr uart0_rts uart0_out1_n uart0_out2_n uart0_rxd uart0_txd} uart0_ri {atom_signal_name ri direction Input role ri} uart0_dcd {atom_signal_name dcd direction Input role dcd} uart0_out1_n {atom_signal_name out1_n direction Output role out1_n} uart0_txd {atom_signal_name txd direction Output role txd} uart0_cts {atom_signal_name cts direction Input role cts} uart0_out2_n {atom_signal_name out2_n direction Output role out2_n} uart0_dtr {atom_signal_name dtr direction Output role dtr} uart0_rts {atom_signal_name rts direction Output role rts}} spim1 {spim1_ss_2_n {atom_signal_name ss_2_n direction Output role ss_2_n} spim1_ss_3_n {atom_signal_name ss_3_n direction Output role ss_3_n} @orderednames {spim1_txd spim1_rxd spim1_ss_in_n spim1_ssi_oe_n spim1_ss_0_n spim1_ss_1_n spim1_ss_2_n spim1_ss_3_n} spim1_rxd {atom_signal_name rxd direction Input role rxd} spim1_ss_0_n {atom_signal_name ss_0_n direction Output role ss_0_n} spim1_ss_in_n {atom_signal_name ss_in_n direction Input role ss_in_n} spim1_ss_1_n {atom_signal_name ss_1_n direction Output role ss_1_n} spim1_ssi_oe_n {atom_signal_name ssi_oe_n direction Output role ssi_oe_n} spim1_txd {atom_signal_name txd direction Output role txd}} spim0 {spim0_ss_in_n {atom_signal_name ss_in_n direction Input role ss_in_n} spim0_txd {atom_signal_name txd direction Output role txd} spim0_ss_2_n {atom_signal_name ss_2_n direction Output role ss_2_n} @orderednames {spim0_txd spim0_rxd spim0_ss_in_n spim0_ssi_oe_n spim0_ss_0_n spim0_ss_1_n spim0_ss_2_n spim0_ss_3_n} spim0_ss_3_n {atom_signal_name ss_3_n direction Output role ss_3_n} spim0_ssi_oe_n {atom_signal_name ssi_oe_n direction Output role ssi_oe_n} spim0_rxd {atom_signal_name rxd direction Input role rxd} spim0_ss_0_n {atom_signal_name ss_0_n direction Output role ss_0_n} spim0_ss_1_n {atom_signal_name ss_1_n direction Output role ss_1_n}} spis1 {spis1_txd {atom_signal_name txd direction Output role txd} @orderednames {spis1_txd spis1_rxd spis1_ss_in_n spis1_ssi_oe_n} spis1_ssi_oe_n {atom_signal_name ssi_oe_n direction Output role ssi_oe_n} spis1_rxd {atom_signal_name rxd direction Input role rxd} spis1_ss_in_n {atom_signal_name ss_in_n direction Input role ss_in_n}} spis0 {spis0_ss_in_n {atom_signal_name ss_in_n direction Input role ss_in_n} spis0_rxd {atom_signal_name rxd direction Input role rxd} @orderednames {spis0_txd spis0_rxd spis0_ss_in_n spis0_ssi_oe_n} spis0_ssi_oe_n {atom_signal_name ssi_oe_n direction Output role ssi_oe_n} spis0_txd {atom_signal_name txd direction Output role txd}} spis1_sclk_in {spis1_sclk_in {atom_signal_name sclk_in direction Input role clk} @orderednames spis1_sclk_in} emac1_tx_reset {emac1_rst_clk_tx_n_o {atom_signal_name rst_clk_tx_n_o direction Output role reset_n} @orderednames emac1_rst_clk_tx_n_o} emac0_md_clk {emac0_gmii_mdc_o {atom_signal_name gmii_mdc_o direction Output role clk} @orderednames emac0_gmii_mdc_o} emac0_tx_clk_in {emac0_clk_tx_i {atom_signal_name clk_tx_i direction Input role clk} @orderednames emac0_clk_tx_i} qspi {qspi_n_mo_en {atom_signal_name n_mo_en direction Output role n_mo_en} @orderednames {qspi_mi0 qspi_mi1 qspi_mi2 qspi_mi3 qspi_mo0 qspi_mo1 qspi_mo2_wpn qspi_mo3_hold qspi_n_mo_en qspi_n_ss_out} qspi_mi3 {atom_signal_name mi3 direction Input role mi3} qspi_mo1 {atom_signal_name mo1 direction Output role mo1} qspi_n_ss_out {atom_signal_name n_ss_out direction Output role n_ss_out} qspi_mi2 {atom_signal_name mi2 direction Input role mi2} qspi_mo2_wpn {atom_signal_name mo2_wpn direction Output role mo2_wpn} qspi_mo0 {atom_signal_name mo0 direction Output role mo0} qspi_mi1 {atom_signal_name mi1 direction Input role mi1} qspi_mi0 {atom_signal_name mi0 direction Input role mi0} qspi_mo3_hold {atom_signal_name mo3_hold direction Output role mo3_hold}} spim0_sclk_out {spim0_sclk_out {atom_signal_name sclk_out direction Output role clk} @orderednames spim0_sclk_out} i2c3 {@orderednames {i2c_emac1_out_data i2c_emac1_sda} i2c_emac1_sda {atom_signal_name sda direction Input role sda} i2c_emac1_out_data {atom_signal_name out_data direction Output role out_data}} i2c0_clk {@orderednames i2c0_out_clk i2c0_out_clk {atom_signal_name out_clk direction Output role clk}} emac1_md_clk {@orderednames emac1_gmii_mdc_o emac1_gmii_mdc_o {atom_signal_name gmii_mdc_o direction Output role clk}} i2c2 {@orderednames {i2c_emac0_out_data i2c_emac0_sda} i2c_emac0_out_data {atom_signal_name out_data direction Output role out_data} i2c_emac0_sda {atom_signal_name sda direction Input role sda}} i2c1 {i2c1_out_data {atom_signal_name out_data direction Output role out_data} @orderednames {i2c1_out_data i2c1_sda} i2c1_sda {atom_signal_name sda direction Input role sda}} i2c0 {i2c0_sda {atom_signal_name sda direction Input role sda} @orderednames {i2c0_out_data i2c0_sda} i2c0_out_data {atom_signal_name out_data direction Output role out_data}} emac0_rx_clk_in {@orderednames emac0_clk_rx_i emac0_clk_rx_i {atom_signal_name clk_rx_i direction Input role clk}} i2c0_scl_in {i2c0_scl {atom_signal_name scl direction Input role clk} @orderednames i2c0_scl} i2c3_clk {@orderednames i2c_emac1_out_clk i2c_emac1_out_clk {atom_signal_name out_clk direction Output role clk}} i2c1_scl_in {@orderednames i2c1_scl i2c1_scl {atom_signal_name scl direction Input role clk}} spim1_sclk_out {spim1_sclk_out {atom_signal_name sclk_out direction Output role clk} @orderednames spim1_sclk_out} i2c2_scl_in {@orderednames i2c_emac0_scl i2c_emac0_scl {atom_signal_name scl direction Input role clk}} usb0_clk_in {@orderednames usb0_ulpi_clk usb0_ulpi_clk {atom_signal_name clk direction Input role clk}} sdio_reset {@orderednames sdmmc_rstn_o sdmmc_rstn_o {atom_signal_name rstn_o direction Output role reset}} emac0_gtx_clk {emac0_phy_txclk_o {atom_signal_name phy_txclk_o direction Output role clk} @orderednames emac0_phy_txclk_o} qspi_sclk_out {@orderednames qspi_sclk_out qspi_sclk_out {atom_signal_name sclk_out direction Output role clk}} i2c3_scl_in {i2c_emac1_scl {atom_signal_name scl direction Input role clk} @orderednames i2c_emac1_scl} emac1_tx_clk_in {@orderednames emac1_clk_tx_i emac1_clk_tx_i {atom_signal_name clk_tx_i direction Input role clk}} usb1_clk_in {@orderednames usb1_ulpi_clk usb1_ulpi_clk {atom_signal_name clk direction Input role clk}} spis0_sclk_in {spis0_sclk_in {atom_signal_name sclk_in direction Input role clk} @orderednames spis0_sclk_in} i2c2_clk {@orderednames i2c_emac0_out_clk i2c_emac0_out_clk {atom_signal_name out_clk direction Output role clk}} emac1_rx_clk_in {@orderednames emac1_clk_rx_i emac1_clk_rx_i {atom_signal_name clk_rx_i direction Input role clk}} nand {nand_rdy_busy_in {atom_signal_name rdy_busy direction Input role rdy_busy_in} nand_rebar_out {atom_signal_name rebar direction Output role rebar_out} nand_adq_in {atom_signal_name adq_in direction Input role adq_in} @orderednames {nand_adq_in nand_adq_oe nand_adq_out nand_ale_out nand_cebar_out nand_cle_out nand_rebar_out nand_rdy_busy_in nand_webar_out nand_wpbar_out} nand_webar_out {atom_signal_name webar direction Output role webar_out} nand_adq_out {atom_signal_name adq_out direction Output role adq_out} nand_wpbar_out {atom_signal_name wpbar direction Output role wpbar_out} nand_adq_oe {atom_signal_name adq_oe direction Output role adq_oe} nand_cebar_out {atom_signal_name cebar direction Output role cebar_out} nand_ale_out {atom_signal_name ale direction Output role ale_out} nand_cle_out {atom_signal_name cle direction Output role cle_out}} can1 {@orderednames {can1_rxd can1_txd} can1_rxd {atom_signal_name rxd direction Input role rxd} can1_txd {atom_signal_name txd direction Output role txd}}} REFRESH_BURST_VALIDATION false MEM_TRRD 3 ENUM_RD_FIFO_IN_USE_3 FALSE CV_PORT_1_CONNECT_TO_AV_PORT 1 ENUM_RD_FIFO_IN_USE_2 FALSE ENUM_RD_FIFO_IN_USE_1 FALSE ENUM_RD_FIFO_IN_USE_0 FALSE l4_sp_clk_div_auto 0 pin_muxing_check {Cyclone V+5CSEMA4U23C6} INCLUDE_MULTIRANK_BOARD_DELAY_MODEL false DISABLE_CHILD_MESSAGING false show_warning_as_error_msg false mpu_periph_clk_hz 231250000 PLL_WRITE_CLK_PHASE_PS_SIM_STR_PARAM {} h2f_user1_clk_hz 1953125 CV_ENUM_WFIFO3_CPORT_MAP CMD_PORT_0 SEQUENCER_TYPE_CACHE NIOS l4_mp_clk_hz 100000000 CV_ENUM_CPORT2_WFIFO_MAP FIFO_0 PLL_AFI_HALF_CLK_DIV 10 CV_MSB_RFIFO_PORT_5 5 ENABLE_NIOS_OCI false CV_MSB_RFIFO_PORT_4 5 CV_MSB_RFIFO_PORT_3 5 CV_MSB_RFIFO_PORT_2 5 CV_MSB_RFIFO_PORT_1 5 CV_MSB_RFIFO_PORT_0 5 S2FINTERRUPT_I2CPERIPHERAL_Enable false main_qspi_clk_mhz 3.613281 DLL_MASTER true S2FINTERRUPT_FPGAMANAGER_Enable false QVLD_WR_ADDRESS_OFFSET 5 MEM_TINIT_CK 149700 PLL_WRITE_CLK_MULT_CACHE 24 MR1_DS 0 PLL_C2P_WRITE_CLK_PHASE_DEG_SIM 0.0 PLL_WRITE_CLK_FREQ_CACHE 300.0 INTG_SUM_WT_PRIORITY_7 0 USE_DR_CLK false INTG_EXTRA_CTL_CLK_WR_TO_RD_DIFF_CHIP 3 INTG_SUM_WT_PRIORITY_6 0 HR_DDIO_OUT_HAS_THREE_REGS false INTG_SUM_WT_PRIORITY_5 0 INTG_SUM_WT_PRIORITY_4 0 INTG_SUM_WT_PRIORITY_3 0 INTG_SUM_WT_PRIORITY_2 0 INTG_SUM_WT_PRIORITY_1 0 INTG_SUM_WT_PRIORITY_0 0 PLL_MEM_CLK_FREQ_PARAM 0.0 JAVA_EMAC0_DATA {EMAC0 {signals_by_mode {{RGMII with I2C2} {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3} RGMII {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3 MDIO MDC}} pin_sets {{HPS I/O Set 0} {linked_peripheral_pin_set {HPS I/O Set 0} mux_selects {3 3 3 3 3 3 3 3 3 3 3 3 3 3} pins {EMACIO0 EMACIO1 EMACIO2 EMACIO3 EMACIO4 EMACIO5 EMACIO6 EMACIO7 EMACIO8 EMACIO9 EMACIO10 EMACIO11 EMACIO12 EMACIO13} signals {TX_CLK TXD0 TXD1 TXD2 TXD3 RXD0 MDIO MDC RX_CTL TX_CTL RX_CLK RXD1 RXD2 RXD3} valid_modes {RGMII {RGMII with I2C2}} locations {PIN_P28A0T PIN_P28B0T PIN_P28A1T PIN_P28B1T PIN_P29A0T PIN_P29B0T PIN_P29A1T PIN_P29B1T PIN_P30A0T PIN_P30B0T PIN_P30A1T PIN_P30B1T PIN_P31A0T PIN_P31B0T} linked_peripheral I2C2 linked_peripheral_mode {Used by EMAC0} signal_parts {{{} EMAC_CLK_TX(0:0) {}} {{} EMAC_PHY_TXD(0:0) {}} {{} EMAC_PHY_TXD(1:1) {}} {{} EMAC_PHY_TXD(2:2) {}} {{} EMAC_PHY_TXD(3:3) {}} {EMAC_PHY_RXD(0:0) {} {}} {EMAC_GMII_MDO_I(0:0) EMAC_GMII_MDO_O(0:0) EMAC_GMII_MDO_OE(0:0)} {{} EMAC_GMII_MDC(0:0) {}} {EMAC_PHY_RXDV(0:0) {} {}} {{} EMAC_PHY_TX_OE(0:0) {}} {EMAC_CLK_RX(0:0) {} {}} {EMAC_PHY_RXD(1:1) {} {}} {EMAC_PHY_RXD(2:2) {} {}} {EMAC_PHY_RXD(3:3) {} {}}}}}}} AV_PORT_0_CONNECT_TO_CV_PORT 0 CV_MSB_WFIFO_PORT_5 5 MEM_IF_DQS_WIDTH 1 CV_MSB_WFIFO_PORT_4 5 CV_MSB_WFIFO_PORT_3 5 CV_MSB_WFIFO_PORT_2 5 FIX_READ_LATENCY 8 CV_MSB_WFIFO_PORT_1 5 TIMING_BOARD_AC_EYE_REDUCTION_H_APPLIED 0.0 FORCE_SEQUENCER_TCL_DEBUG_MODE false CV_MSB_WFIFO_PORT_0 5 CTL_RD_TO_PCH_EXTRA_CLK 0 PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR {} SPIM0_PinMuxing Unused PLL_MEM_CLK_PHASE_PS_SIM 0 PLL_WRITE_CLK_PHASE_PS_SIM_STR_CACHE {2500 ps} ENUM_DFX_BYPASS_ENABLE DFX_BYPASS_DISABLED ENUM_WR_FIFO_IN_USE_3 FALSE ENUM_WR_FIFO_IN_USE_2 FALSE ENUM_WR_FIFO_IN_USE_1 FALSE ENUM_WR_FIFO_IN_USE_0 FALSE JAVA_SPIS0_DATA {SPIS0 {signals_by_mode {SPI {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P14B0T PIN_P14A1T PIN_P14B1T PIN_P15A0T} signals {CLK MOSI MISO SS0} signal_parts {{SPI_SLAVE_SCLK(0:0) {} {}} {SPI_SLAVE_RXD(0:0) {} {}} {{} SPI_SLAVE_TXD(0:0) SPI_SLAVE_SSI_OE_N(0:0)} {SPI_SLAVE_SS_N(0:0) {} {}}} mux_selects {2 2 2 2} valid_modes SPI pins {GENERALIO1 GENERALIO2 GENERALIO3 GENERALIO4}}}}} F2SDRAM_Width_Last_Size 0 CFG_TYPE 2 AC_ROM_MR1_OCD_ENABLE {} gpio_db_clk_div 6249 DQ_INPUT_REG_USE_CLKN false MR1_BT 0 CV_INTG_SUM_WT_PRIORITY_7 0 MR1_BL 2 S2FCLK_COLDRST_Enable false CV_INTG_SUM_WT_PRIORITY_6 0 CV_INTG_SUM_WT_PRIORITY_5 0 GP_Enable false CV_INTG_SUM_WT_PRIORITY_4 0 CV_INTG_SUM_WT_PRIORITY_3 0 CV_INTG_SUM_WT_PRIORITY_2 0 CV_INTG_SUM_WT_PRIORITY_1 0 CV_INTG_SUM_WT_PRIORITY_0 0 nand_clk_mhz 0.488281 ENUM_CPORT5_TYPE DISABLE GPIO_Conflict_DERIVED {{} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {} {}} INTG_EXTRA_CTL_CLK_WR_TO_RD 3 S2FINTERRUPT_SDMMC_Enable false MEM_CK_PHASE_CACHE 0.0 MEM_WTCL_INT 6 MR1_AL 0 cfg_h2f_user0_clk_hz 97368421 PLL_MEM_CLK_FREQ_CACHE 300.0 CFG_ADDR_ORDER 0 AFI_DEBUG_INFO_WIDTH 32 AVL_NUM_SYMBOLS 2 NUM_AC_FR_CYCLE_SHIFTS 0 TB_MEM_IF_DQ_WIDTH 8 CV_ENUM_RD_PORT_INFO_5 USE_NO CFG_TCCD 1 CV_ENUM_RD_PORT_INFO_4 USE_NO CV_ENUM_RD_PORT_INFO_3 USE_NO CV_ENUM_RD_PORT_INFO_2 USE_NO HHP_HPS_VERIFICATION false CV_ENUM_RD_PORT_INFO_1 USE_NO CV_ENUM_RD_PORT_INFO_0 USE_NO AC_ROM_MR3 0000000000000 AC_ROM_MR2 0000000001000 S2FCLK_USER1CLK_FREQ 100.0 AC_ROM_MR1 0000000000000 TB_MEM_CLK_FREQ 300.0 AC_ROM_MR0 0001000110001 TIMING_BOARD_CK_CKN_SLEW_RATE 2.0 LOANIO_Name_DERIVED {LOANIO00 LOANIO01 LOANIO02 LOANIO03 LOANIO04 LOANIO05 LOANIO06 LOANIO07 LOANIO08 LOANIO09 LOANIO10 LOANIO11 LOANIO12 LOANIO13 LOANIO14 LOANIO15 LOANIO16 LOANIO17 LOANIO18 LOANIO19 LOANIO20 LOANIO21 LOANIO22 LOANIO23 LOANIO24 LOANIO25 LOANIO26 LOANIO27 LOANIO28 LOANIO29 LOANIO30 LOANIO31 LOANIO32 LOANIO33 LOANIO34 LOANIO35 LOANIO36 LOANIO37 LOANIO38 LOANIO39 LOANIO40 LOANIO41 LOANIO42 LOANIO43 LOANIO44 LOANIO45 LOANIO46 LOANIO47 LOANIO48 LOANIO49 LOANIO50 LOANIO51 LOANIO52 LOANIO53 LOANIO54 LOANIO55 LOANIO56 LOANIO57 LOANIO58 LOANIO59 LOANIO60 LOANIO61 LOANIO62 LOANIO63 LOANIO64 LOANIO65 LOANIO66} P2C_READ_CLOCK_ADD_PHASE 0.0 PLL_CONFIG_CLK_DIV 15000000 test_iface_definition {DFX_OUT_FPGA_PR_REQUEST 1 output DFX_OUT_FPGA_DCLK 1 output DFX_OUT_FPGA_S2F_DATA 32 output DFX_SCAN_DOUT 1 output DFX_OUT_FPGA_SDRAM_OBSERVE 5 output DFX_OUT_FPGA_DATA 18 output DFX_OUT_FPGA_OSC1_CLK 1 output DFX_OUT_FPGA_T2_DATAOUT 1 output DFX_IN_FPGA_T2_CLK 1 input DFX_IN_FPGA_T2_DATAIN 1 input DFX_IN_FPGA_T2_SCAN_EN_N 1 input DFX_SCAN_CLK 1 input DFX_SCAN_DIN 1 input DFX_SCAN_EN 1 input DFX_SCAN_LOAD 1 input CFG_DFX_BYPASS_ENABLE 1 input F2S_CTRL 1 input F2S_JTAG_ENABLE_CORE 1 input DFT_IN_FPGA_SCAN_EN 1 input DFT_IN_FPGA_ATPG_EN 1 input DFT_IN_FPGA_PLLBYPASS 1 input DFT_IN_FPGA_PLLBYPASS_SEL 1 input DFT_IN_FPGA_OSC1TESTEN 1 input DFT_IN_FPGA_MPUPERITESTEN 1 input DFT_IN_FPGA_MPUL2RAMTESTEN 1 input DFT_IN_FPGA_MPUTESTEN 1 input DFT_IN_FPGA_MPU_SCAN_MODE 1 input DFT_IN_FPGA_DBGATTESTEN 1 input DFT_IN_FPGA_DBGTESTEN 1 input DFT_IN_FPGA_DBGTRTESTEN 1 input DFT_IN_FPGA_DBGTMTESTEN 1 input DFT_IN_FPGA_L4MAINTESTEN 1 input DFT_IN_FPGA_L3MAINTESTEN 1 input DFT_IN_FPGA_L3MPTESTEN 1 input DFT_IN_FPGA_L3SPTESTEN 1 input DFT_IN_FPGA_CFGTESTEN 1 input DFT_IN_FPGA_L4MPTESTEN 1 input DFT_IN_FPGA_L4SPTESTEN 1 input DFT_IN_FPGA_USBMPTESTEN 1 input DFT_IN_FPGA_SPIMTESTEN 1 input DFT_IN_FPGA_DDRDQSTESTEN 1 input DFT_IN_FPGA_DDR2XDQSTESTEN 1 input DFT_IN_FPGA_DDRDQTESTEN 1 input DFT_IN_FPGA_EMAC0TESTEN 1 input DFT_IN_FPGA_EMAC1TESTEN 1 input DFT_IN_FPGA_CAN0TESTEN 1 input DFT_IN_FPGA_CAN1TESTEN 1 input DFT_IN_FPGA_GPIODBTESTEN 1 input DFT_IN_FPGA_SDMMCTESTEN 1 input DFT_IN_FPGA_NANDTESTEN 1 input DFT_IN_FPGA_NANDXTESTEN 1 input DFT_IN_FPGA_QSPITESTEN 1 input DFT_IN_FPGA_TEST_CLK 1 input DFT_IN_FPGA_TEST_CLKOFF 1 input DFT_IN_FPGA_TEST_CKEN 1 input DFT_IN_FPGA_PIPELINE_SE_ENABLE 1 input DFT_IN_HPS_TESTMODE_N 1 input DFT_IN_FPGA_BIST_SE 1 input DFT_IN_FPGA_BISTEN 1 input DFT_IN_FPGA_BIST_NRST 1 input DFT_IN_FPGA_BIST_PERI_SI_0 1 input DFT_IN_FPGA_BIST_PERI_SI_1 1 input DFT_IN_FPGA_BIST_PERI_SI_2 1 input DFT_IN_FPGA_BIST_CPU_SI 1 input DFT_IN_FPGA_BIST_L2_SI 1 input DFT_IN_FPGA_MEM_SE 1 input DFT_IN_FPGA_MEM_PERI_SI_0 1 input DFT_IN_FPGA_MEM_PERI_SI_1 1 input DFT_IN_FPGA_MEM_PERI_SI_2 1 input DFT_IN_FPGA_MEM_CPU_SI 1 input DFT_IN_FPGA_MEM_L2_SI 1 input DFT_IN_FPGA_MTESTEN 1 input DFT_IN_FPGA_ECCBYP 1 input DFT_IN_FPGA_VIOSCANIN 1 input DFT_IN_FPGA_VIOSCANEN 1 input DFT_IN_FPGA_OCTSCANIN 1 input DFT_IN_FPGA_OCTSCANEN 1 input DFT_IN_FPGA_OCTSCANCLK 1 input DFT_IN_FPGA_OCTENSERUSER 1 input DFT_IN_FPGA_OCTCLKENUSR 1 input DFT_IN_FPGA_OCTS2PLOAD 1 input DFT_IN_FPGA_OCTNCLRUSR 1 input DFT_IN_FPGA_OCTCLKUSR 1 input DFT_IN_FPGA_OCTSERDATA 1 input DFT_IN_FPGA_HIOSCANIN 2 input DFT_IN_FPGA_HIOSCANEN 1 input DFT_IN_FPGA_HIOSCLR 1 input DFT_IN_FPGA_HIOCLKIN0 1 input DFT_IN_FPGA_DQSUPDTEN 5 input DFT_IN_FPGA_PSTDQSENA 1 input DFT_IN_FPGA_IPSCIN 1 input DFT_IN_FPGA_IPSCUPDATE 1 input DFT_IN_FPGA_IPSCCLK 1 input DFT_IN_FPGA_IPSCENABLE 12 input DFT_IN_FPGA_DLLNRST 1 input DFT_IN_FPGA_DLLUPDWNEN 1 input DFT_IN_FPGA_DLLUPNDN 1 input DFT_IN_FPGA_FMBHNIOTRI 1 input DFT_IN_FPGA_FMNIOTRI 1 input DFT_IN_FPGA_FMPLNIOTRI 1 input DFT_IN_FPGA_FMCSREN 1 input DFT_IN_FPGA_PLL_CLKR 6 input DFT_IN_FPGA_PLL_CLKF 13 input DFT_IN_FPGA_PLL_CLKOD 9 input DFT_IN_FPGA_PLL_BWADJ 12 input DFT_IN_FPGA_PLL1_RESET 1 input DFT_IN_FPGA_PLL1_PWRDN 1 input DFT_IN_FPGA_PLL1_TEST 1 input DFT_IN_FPGA_PLL1_OUTRESET 1 input DFT_IN_FPGA_PLL1_OUTRESETALL 1 input DFT_IN_FPGA_PLL_FASTEN 1 input DFT_IN_FPGA_PLL_ENSAT 1 input DFT_IN_FPGA_PLL_ADVANCE 1 input DFT_IN_FPGA_PLL_STEP 1 input DFT_IN_FPGA_PLL2_RESET 1 input DFT_IN_FPGA_PLL2_PWRDN 1 input DFT_IN_FPGA_PLL2_TEST 1 input DFT_IN_FPGA_PLL2_OUTRESET 1 input DFT_IN_FPGA_PLL2_OUTRESETALL 1 input DFT_IN_FPGA_PLL3_RESET 1 input DFT_IN_FPGA_PLL3_PWRDN 1 input DFT_IN_FPGA_PLL3_TEST 1 input DFT_IN_FPGA_PLL3_OUTRESET 1 input DFT_IN_FPGA_PLL3_OUTRESETALL 1 input DFT_IN_FPGA_PLL1_CLK_SELECT 1 input DFT_IN_FPGA_PLL2_CLK_SELECT 1 input DFT_IN_FPGA_PLL3_CLK_SELECT 1 input DFT_IN_FPGA_PLL_TESTBUS_SEL 5 input DFT_IN_FPGA_PLL1_BG_RESET 1 input DFT_IN_FPGA_PLL1_BG_PWRDN 1 input DFT_IN_FPGA_PLL1_REG_RESET 1 input DFT_IN_FPGA_PLL1_REG_PWRDN 1 input DFT_IN_FPGA_PLL2_BG_RESET 1 input DFT_IN_FPGA_PLL2_BG_PWRDN 1 input DFT_IN_FPGA_PLL2_REG_RESET 1 input DFT_IN_FPGA_PLL2_REG_PWRDN 1 input DFT_IN_FPGA_PLL3_BG_RESET 1 input DFT_IN_FPGA_PLL3_BG_PWRDN 1 input DFT_IN_FPGA_PLL3_REG_RESET 1 input DFT_IN_FPGA_PLL3_REG_PWRDN 1 input DFT_IN_FPGA_PLL_REG_EXT_SEL 1 input DFT_IN_FPGA_PLL1_REG_TEST_SEL 1 input DFT_IN_FPGA_PLL2_REG_TEST_SEL 1 input DFT_IN_FPGA_PLL3_REG_TEST_SEL 1 input DFT_IN_FPGA_PLL_REG_TEST_REP 1 input DFT_IN_FPGA_PLL_REG_TEST_OUT 1 input DFT_IN_FPGA_PLL_REG_TEST_DRV 1 input DFT_IN_FPGA_PLLTEST_INPUT_EN 1 input DFT_IN_FPGA_VIOSCANCLK_TESTEN 1 input DFT_IN_FPGA_HIOSCANCLK_TESTEN 1 input DFT_IN_FPGA_CTICLK_TESTEN 1 input DFT_IN_FPGA_TPIUTRACECLKIN_TESTEN 1 input DFT_IN_FPGA_AVSTWRCLK_TESTEN 4 input DFT_IN_FPGA_AVSTRDCLK_TESTEN 4 input DFT_IN_FPGA_AVSTCMDPORTCLK_TESTEN 6 input DFT_IN_FPGA_F2SAXICLK_TESTEN 1 input DFT_IN_FPGA_S2FAXICLK_TESTEN 1 input DFT_IN_FPGA_USBULPICLK_TESTEN 2 input DFT_IN_FPGA_F2SPCLKDBG_TESTEN 1 input DFT_IN_FPGA_LWH2FAXICLK_TESTEN 1 input DFT_IN_FPGA_SCANIN 390 input DFT_OUT_FPGA_BIST_PERI_SO_0 1 output DFT_OUT_FPGA_BIST_PERI_SO_1 1 output DFT_OUT_FPGA_BIST_PERI_SO_2 1 output DFT_OUT_FPGA_BIST_CPU_SO 1 output DFT_OUT_FPGA_BIST_L2_SO 1 output DFT_OUT_FPGA_MEM_PERI_SO_0 1 output DFT_OUT_FPGA_MEM_PERI_SO_1 1 output DFT_OUT_FPGA_MEM_PERI_SO_2 1 output DFT_OUT_FPGA_MEM_CPU_SO 1 output DFT_OUT_FPGA_MEM_L2_SO 1 output DFT_OUT_FPGA_VIOSCANOUT 1 output DFT_OUT_FPGA_OCTSERDATA 1 output DFT_OUT_FPGA_OCTCOMPOUT_RUP 1 output DFT_OUT_FPGA_OCTCOMPOUT_RDN 1 output DFT_OUT_FPGA_OCTCLKUSRDFT 1 output DFT_OUT_FPGA_OCTSCANOUT 1 output DFT_OUT_FPGA_HIOCDATA3IN 45 output DFT_OUT_FPGA_HIODQSUNGATING 5 output DFT_OUT_FPGA_HIODQSOUT 5 output DFT_OUT_FPGA_HIOOCTRT 5 output DFT_OUT_FPGA_HIOSCANOUT 2 output DFT_OUT_FPGA_PSTTRACKSAMPLE 5 output DFT_OUT_FPGA_PSTVFIFO 5 output DFT_OUT_FPGA_IPSCOUT 5 output DFT_OUT_FPGA_DLLSETTING 7 output DFT_OUT_FPGA_DLLUPDWNCORE 1 output DFT_OUT_FPGA_DLLLOCKED 1 output DFT_OUT_FPGA_PLL_TESTBUS_OUT 3 output DFT_OUT_FPGA_SCANOUT_2_3 2 output DFT_OUT_FPGA_SCANOUT_15_83 69 output DFT_OUT_FPGA_SCANOUT_100_126 27 output DFT_OUT_FPGA_SCANOUT_131_250 120 output DFT_OUT_FPGA_SCANOUT_254_264 11 output DFT_OUT_FPGA_SCANOUT_271_389 119 output} PLL_C2P_WRITE_CLK_FREQ_SIM_STR_PARAM {} PLL_P2C_READ_CLK_PHASE_PS_PARAM 0 PLL_CONFIG_CLK_PHASE_PS_SIM_STR {} PLL_DR_CLK_FREQ 0.0 PLL_NIOS_CLK_MULT_PARAM 0 MEM_CLK_FREQ 300.0 MEM_BURST_LENGTH 8 PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR_PARAM {} PLL_DR_CLK_DIV_PARAM 0 CTL_ECC_AUTO_CORRECTION_ENABLED false desired_emac1_clk_hz 250000000 MEM_IF_DQSN_EN true CTL_TBP_NUM 4 MEM_LEVELING false desired_mpu_clk_mhz 800.0 CV_CPORT_TYPE_PORT_5 0 CV_CPORT_TYPE_PORT_4 0 CV_CPORT_TYPE_PORT_3 0 CV_CPORT_TYPE_PORT_2 0 PLL_ADDR_CMD_CLK_FREQ_SIM_STR {3334 ps} CV_CPORT_TYPE_PORT_1 0 CV_CPORT_TYPE_PORT_0 0 PLL_DR_CLK_FREQ_SIM_STR_PARAM {} CV_ENUM_CPORT0_TYPE DISABLE F2SCLK_PERIPHCLK_FREQ 0 ENUM_CFG_STARVE_LIMIT STARVE_LIMIT_10 can1_clk_mhz 6.25 ENUM_ENABLE_ATPG DISABLED SPEED_GRADE_CACHE 7 USE_NEG_EDGE_AC_TRANSFER_FOR_HPHY true MSB_RFIFO_PORT_5 5 MSB_RFIFO_PORT_4 5 S2FINTERRUPT_CTI_Enable false MSB_RFIFO_PORT_3 5 MSB_RFIFO_PORT_2 5 MSB_RFIFO_PORT_1 5 MSB_RFIFO_PORT_0 5 QVLD_EXTRA_FLOP_STAGES 1 main_pll_vco_auto_mhz 1850.0 PLL_HR_CLK_PHASE_PS 0 CV_ENUM_CMD_PORT_IN_USE_5 FALSE CV_ENUM_CMD_PORT_IN_USE_4 FALSE ENUM_MEM_IF_TWTR TWTR_2 JAVA_NAND_DATA {NAND {signals_by_mode {{ONFI 1.0} {ALE CE CLE RE RB DQ0 DQ1 DQ2 DQ3 DQ4 DQ5 DQ6 DQ7 WP WE}} pin_sets {{HPS I/O Set 0} {locations {PIN_P19A0T PIN_P19B0T PIN_P19A1T PIN_P19B1T PIN_P20A0T PIN_P20B0T PIN_P20A1T PIN_P20B1T PIN_P21A0T PIN_P21B0T PIN_P21A1T PIN_P21B1T PIN_P22A0T PIN_P22B0T PIN_P22A1T} signals {ALE CE CLE RE RB DQ0 DQ1 DQ2 DQ3 DQ4 DQ5 DQ6 DQ7 WP WE} signal_parts {{{} NAND_ALE(0:0) {}} {{} NAND_CE_N(0:0) {}} {{} NAND_CLE(0:0) {}} {{} NAND_RE_N(0:0) {}} {NAND_RDY_BUSYN(0:0) {} {}} {NAND_ADQ_I(0:0) NAND_ADQ_O(0:0) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(1:1) NAND_ADQ_O(1:1) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(2:2) NAND_ADQ_O(2:2) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(3:3) NAND_ADQ_O(3:3) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(4:4) NAND_ADQ_O(4:4) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(5:5) NAND_ADQ_O(5:5) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(6:6) NAND_ADQ_O(6:6) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(7:7) NAND_ADQ_O(7:7) NAND_ADQ_OE(0:0)} {{} NAND_WP_N(0:0) {}} {{} NAND_WE_N(0:0) {}}} mux_selects {3 3 3 3 3 3 3 3 3 3 3 3 3 3 3} valid_modes {{ONFI 1.0}} pins {MIXED1IO0 MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO5 MIXED1IO6 MIXED1IO7 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO12 MIXED1IO13 MIXED1IO14}}}}} CV_ENUM_CMD_PORT_IN_USE_3 FALSE I2C1_PinMuxing Unused CV_ENUM_CMD_PORT_IN_USE_2 FALSE CV_ENUM_CMD_PORT_IN_USE_1 FALSE FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_I2C2_CLK 100 CV_ENUM_CMD_PORT_IN_USE_0 FALSE DELAY_PER_DQS_EN_DCHAIN_TAP 25 PLL_C2P_WRITE_CLK_FREQ_STR {} ENUM_MEM_IF_BURSTLENGTH MEM_IF_BURSTLENGTH_8 ENUM_RCFG_STATIC_WEIGHT_5 WEIGHT_0 HHP_HPS_SIMULATION false PLL_WRITE_CLK_DIV_PARAM 0 ENUM_RCFG_STATIC_WEIGHT_4 WEIGHT_0 PLL_C2P_WRITE_CLK_FREQ_SIM_STR_CACHE {} PLL_P2C_READ_CLK_PHASE_PS_CACHE 0 ENUM_RCFG_STATIC_WEIGHT_3 WEIGHT_0 ENUM_THLD_JAR1_5 THRESHOLD_32 ENUM_RCFG_STATIC_WEIGHT_2 WEIGHT_0 ENUM_THLD_JAR1_4 THRESHOLD_32 ENUM_RCFG_STATIC_WEIGHT_1 WEIGHT_0 ENUM_THLD_JAR1_3 THRESHOLD_32 ENUM_RCFG_STATIC_WEIGHT_0 WEIGHT_0 PLL_NIOS_CLK_MULT_CACHE 0 ENUM_THLD_JAR1_2 THRESHOLD_32 ENUM_THLD_JAR1_1 THRESHOLD_32 ENUM_THLD_JAR1_0 THRESHOLD_32 eosc1_clk_hz 50000000 ENUM_CLOCK_OFF_5 DISABLED PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR_CACHE {} ENUM_CLOCK_OFF_4 DISABLED PLL_AFI_HALF_CLK_FREQ_SIM_STR {6668 ps} ENUM_INC_SYNC FIFO_SET_2 JAVA_SPIM1_DATA {SPIM1 {signals_by_mode {{Dual Slave Selects} {CLK MOSI MISO SS0 SS1} {Single Slave Select} {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P17A1T PIN_P17B1T PIN_P18A0T PIN_P18B0T PIN_P18A1T} signals {SS1 CLK MOSI MISO SS0} signal_parts {{{} SPI_MASTER_SS_1_N(0:0) {}} {{} SPI_MASTER_SCLK(0:0) {}} {{} SPI_MASTER_TXD(0:0) SPI_MASTER_SSI_OE_N(0:0)} {SPI_MASTER_RXD(0:0) {} {}} {{} SPI_MASTER_SS_0_N(0:0) {}}} mux_selects {1 1 1 1 1} valid_modes {{Dual Slave Selects} {Single Slave Select}} pins {GENERALIO14 GENERALIO15 GENERALIO16 GENERALIO17 GENERALIO18}}}}} ENUM_CLOCK_OFF_3 DISABLED PLL_DR_CLK_DIV_CACHE 0 ENUM_CLOCK_OFF_2 DISABLED USB1_Mode N/A ENUM_CLOCK_OFF_1 DISABLED spi_m_clk_div 0 ENUM_CLOCK_OFF_0 DISABLED PLL_P2C_READ_CLK_PHASE_PS_SIM_STR {} MSB_WFIFO_PORT_5 5 MSB_WFIFO_PORT_4 5 REF_CLK_FREQ_MAX_PARAM 0.0 MSB_WFIFO_PORT_3 5 MSB_WFIFO_PORT_2 5 MSB_WFIFO_PORT_1 5 MSB_WFIFO_PORT_0 5 MEM_REGDIMM_ENABLED false TIMING_BOARD_DELTA_READ_DQS_ARRIVAL_TIME 0.0 quartus_ini_hps_emif_pll false JAVA_I2C1_DATA {I2C1 {signals_by_mode {I2C {SDA SCL}} pin_sets {{HPS I/O Set 1} {locations {PIN_P16B0T PIN_P16A1T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {2 2} valid_modes I2C pins {GENERALIO9 GENERALIO10}} {HPS I/O Set 0} {locations {PIN_P14B1T PIN_P15A0T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {1 1} valid_modes I2C pins {GENERALIO3 GENERALIO4}}}}} TIMING_TQSH 0.38 dbg_base_clk_hz 50000000 PLL_DR_CLK_FREQ_SIM_STR_CACHE {} PHY_CSR_ENABLED false CV_ENUM_AUTO_PCH_ENABLE_5 DISABLED CTL_CS_WIDTH 1 CPORT_TYPE_PORT_5 0 CV_ENUM_AUTO_PCH_ENABLE_4 DISABLED CPORT_TYPE_PORT_4 0 CV_ENUM_AUTO_PCH_ENABLE_3 DISABLED CPORT_TYPE_PORT_3 0 CV_ENUM_AUTO_PCH_ENABLE_2 DISABLED PLL_ADDR_CMD_CLK_FREQ 300.0 CPORT_TYPE_PORT_2 0 CV_ENUM_AUTO_PCH_ENABLE_1 DISABLED CPORT_TYPE_PORT_1 0 CV_ENUM_AUTO_PCH_ENABLE_0 DISABLED CPORT_TYPE_PORT_0 0 ENUM_ENABLE_NO_DM DISABLED NUM_OF_PORTS 1 PLL_AFI_HALF_CLK_PHASE_PS_PARAM 0 RDIMM_INT 0 ENUM_CPORT0_RFIFO_MAP FIFO_0 I2C3_Mode N/A EXPORT_CSR_PORT false ENUM_PDN_EXIT_CYCLES SLOW_EXIT CTL_CSR_READ_ONLY 1 pin_muxing {{USB0 {signals_by_mode {SDR {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} {SDR without external clock} {D0 D1 D2 D3 D4 D5 D6 D7 STP DIR NXT}} pin_sets {{HPS I/O Set 0} {locations {PIN_P25A0T PIN_P25B0T PIN_P25A1T PIN_P25B1T PIN_P26A0T PIN_P26B0T PIN_P26A1T PIN_P26B1T PIN_P27A0T PIN_P27B0T PIN_P27A1T PIN_P27B1T} signals {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} signal_parts {{USB_ULPI_DATA_I(0:0) USB_ULPI_DATA_O(0:0) USB_ULPI_DATA_OE(0:0)} {USB_ULPI_DATA_I(1:1) USB_ULPI_DATA_O(1:1) USB_ULPI_DATA_OE(1:1)} {USB_ULPI_DATA_I(2:2) USB_ULPI_DATA_O(2:2) USB_ULPI_DATA_OE(2:2)} {USB_ULPI_DATA_I(3:3) USB_ULPI_DATA_O(3:3) USB_ULPI_DATA_OE(3:3)} {USB_ULPI_DATA_I(4:4) USB_ULPI_DATA_O(4:4) USB_ULPI_DATA_OE(4:4)} {USB_ULPI_DATA_I(5:5) USB_ULPI_DATA_O(5:5) USB_ULPI_DATA_OE(5:5)} {USB_ULPI_DATA_I(6:6) USB_ULPI_DATA_O(6:6) USB_ULPI_DATA_OE(6:6)} {USB_ULPI_DATA_I(7:7) USB_ULPI_DATA_O(7:7) USB_ULPI_DATA_OE(7:7)} {USB_ULPI_CLK(0:0) {} {}} {{} USB_ULPI_STP(0:0) {}} {USB_ULPI_DIR(0:0) {} {}} {USB_ULPI_NXT(0:0) {} {}}} mux_selects {2 2 2 2 2 2 2 2 2 2 2 2} valid_modes {SDR {SDR without external clock}} pins {FLASHIO0 FLASHIO1 FLASHIO2 FLASHIO3 FLASHIO4 FLASHIO5 FLASHIO6 FLASHIO7 FLASHIO8 FLASHIO9 FLASHIO10 FLASHIO11}}}} UART1 {signals_by_mode {{Flow Control} {RX TX CTS RTS} {No Flow Control} {RX TX}} pin_sets {{HPS I/O Set 0} {locations {PIN_P16B1T PIN_P17A0T PIN_P17B1T PIN_P18A0T} signals {CTS RTS RX TX} signal_parts {{UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}} {UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}}} mux_selects {1 1 2 2} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO11 GENERALIO12 GENERALIO15 GENERALIO16}}}} UART0 {signals_by_mode {{Flow Control} {RX TX CTS RTS} {No Flow Control} {RX TX}} pin_sets {{HPS I/O Set 2} {locations {PIN_P18B0T PIN_P18A1T PIN_P16B0T PIN_P16A1T} signals {RX TX CTS RTS} signal_parts {{UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}} {UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}}} mux_selects {2 2 1 1} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO17 GENERALIO18 GENERALIO9 GENERALIO10}} {HPS I/O Set 1} {locations {PIN_P17B0T PIN_P17A1T PIN_P16B0T PIN_P16A1T} signals {RX TX CTS RTS} signal_parts {{UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}} {UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}}} mux_selects {3 3 1 1} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO13 GENERALIO14 GENERALIO9 GENERALIO10}} {HPS I/O Set 0} {locations {PIN_P14B0T PIN_P14A1T PIN_P16B0T PIN_P16A1T} signals {RX TX CTS RTS} signal_parts {{UART_RXD(0:0) {} {}} {{} UART_TXD(0:0) {}} {UART_CTS_N(0:0) {} {}} {{} UART_RTS_N(0:0) {}}} mux_selects {1 1 1 1} valid_modes {{Flow Control} {No Flow Control}} pins {GENERALIO1 GENERALIO2 GENERALIO9 GENERALIO10}}}} SDIO {signals_by_mode {{1-bit Data} {CMD CLK D0} {4-bit Data} {CMD CLK D0 D1 D2 D3} {8-bit Data with PWREN} {CMD CLK D0 D1 D2 D3 D4 D5 D6 D7 PWREN} {8-bit Data} {CMD CLK D0 D1 D2 D3 D4 D5 D6 D7} {1-bit Data with PWREN} {CMD CLK D0 PWREN} {4-bit Data with PWREN} {CMD CLK D0 D1 D2 D3 PWREN}} pin_sets {{HPS I/O Set 0} {locations {PIN_P25A0T PIN_P25B0T PIN_P25A1T PIN_P25B1T PIN_P26A0T PIN_P26B0T PIN_P26A1T PIN_P26B1T PIN_P27A0T PIN_P27B0T PIN_P27A1T PIN_P27B1T} signals {CMD PWREN D0 D1 D4 D5 D6 D7 HPS_GPIO44 CLK D2 D3} signal_parts {{SDMMC_CMD_I(0:0) SDMMC_CMD_O(0:0) SDMMC_CMD_OE(0:0)} {{} SDMMC_PWR_EN(0:0) {}} {SDMMC_DATA_I(0:0) SDMMC_DATA_O(0:0) SDMMC_DATA_OE(0:0)} {SDMMC_DATA_I(1:1) SDMMC_DATA_O(1:1) SDMMC_DATA_OE(1:1)} {SDMMC_DATA_I(4:4) SDMMC_DATA_O(4:4) SDMMC_DATA_OE(4:4)} {SDMMC_DATA_I(5:5) SDMMC_DATA_O(5:5) SDMMC_DATA_OE(5:5)} {SDMMC_DATA_I(6:6) SDMMC_DATA_O(6:6) SDMMC_DATA_OE(6:6)} {SDMMC_DATA_I(7:7) SDMMC_DATA_O(7:7) SDMMC_DATA_OE(7:7)} HPS_GPIO44 {{} SDMMC_CCLK(0:0) {}} {SDMMC_DATA_I(2:2) SDMMC_DATA_O(2:2) SDMMC_DATA_OE(2:2)} {SDMMC_DATA_I(3:3) SDMMC_DATA_O(3:3) SDMMC_DATA_OE(3:3)}} mux_selects {3 3 3 3 3 3 3 3 3 3 3 3} valid_modes {{1-bit Data} {4-bit Data} {8-bit Data with PWREN} {8-bit Data} {1-bit Data with PWREN} {4-bit Data with PWREN}} pins {FLASHIO0 FLASHIO1 FLASHIO2 FLASHIO3 FLASHIO4 FLASHIO5 FLASHIO6 FLASHIO7 FLASHIO8 FLASHIO9 FLASHIO10 FLASHIO11}}}} I2C3 {signals_by_mode {I2C {SDA SCL} {Used by EMAC1} {SDA SCL}} pin_sets {{HPS I/O Set 0} {locations {PIN_P20A1T PIN_P20B1T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} valid_modes {I2C {Used by EMAC1}} mux_selects {1 1} pins {MIXED1IO6 MIXED1IO7}}}} I2C2 {signals_by_mode {I2C {SDA SCL} {Used by EMAC0} {SDA SCL}} pin_sets {{HPS I/O Set 0} {locations {PIN_P29A1T PIN_P29B1T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} valid_modes {I2C {Used by EMAC0}} mux_selects {1 1} pins {EMACIO6 EMACIO7}}}} I2C1 {signals_by_mode {I2C {SDA SCL}} pin_sets {{HPS I/O Set 1} {locations {PIN_P16B0T PIN_P16A1T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {2 2} valid_modes I2C pins {GENERALIO9 GENERALIO10}} {HPS I/O Set 0} {locations {PIN_P14B1T PIN_P15A0T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {1 1} valid_modes I2C pins {GENERALIO3 GENERALIO4}}}} I2C0 {signals_by_mode {I2C {SDA SCL}} pin_sets {{HPS I/O Set 1} {locations {PIN_P17B1T PIN_P18A0T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {3 3} valid_modes I2C pins {GENERALIO15 GENERALIO16}} {HPS I/O Set 0} {locations {PIN_P15B1T PIN_P16A0T} signals {SDA SCL} signal_parts {{I2C_DATA(0:0) {} I2C_DATA_OE(0:0)} {I2C_CLK(0:0) {} I2C_CLK_OE(0:0)}} mux_selects {1 1} valid_modes I2C pins {GENERALIO7 GENERALIO8}}}} TRACE {signals_by_mode {HPSx4 {CLK D0 D1 D2 D3} HPS {CLK D0 D1 D2 D3 D4 D5 D6 D7}} pin_sets {{HPS I/O Set 0} {locations {PIN_P14A0T PIN_P14B0T PIN_P14A1T PIN_P14B1T PIN_P15A0T PIN_P15B0T PIN_P15A1T PIN_P15B1T PIN_P16A0T} signals {CLK D0 D1 D2 D3 D4 D5 D6 D7} signal_parts {{{} TPIU_TRACE_CLK(0:0) {}} {{} TPIU_TRACE_DATA(0:0) {}} {{} TPIU_TRACE_DATA(1:1) {}} {{} TPIU_TRACE_DATA(2:2) {}} {{} TPIU_TRACE_DATA(3:3) {}} {{} TPIU_TRACE_DATA(4:4) {}} {{} TPIU_TRACE_DATA(5:5) {}} {{} TPIU_TRACE_DATA(6:6) {}} {{} TPIU_TRACE_DATA(7:7) {}}} mux_selects {3 3 3 3 3 3 3 3 3} valid_modes {HPSx4 HPS} pins {GENERALIO0 GENERALIO1 GENERALIO2 GENERALIO3 GENERALIO4 GENERALIO5 GENERALIO6 GENERALIO7 GENERALIO8}}}} CAN1 {signals_by_mode {CAN {RX TX}} pin_sets {{HPS I/O Set 1} {locations {PIN_P16B1T PIN_P17A0T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {2 2} valid_modes CAN pins {GENERALIO11 GENERALIO12}} {HPS I/O Set 0} {locations {PIN_P15B0T PIN_P15A1T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {1 1} valid_modes CAN pins {GENERALIO5 GENERALIO6}}}} CAN0 {signals_by_mode {CAN {RX TX}} pin_sets {{HPS I/O Set 1} {locations {PIN_P18B0T PIN_P18A1T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {3 3} valid_modes CAN pins {GENERALIO17 GENERALIO18}} {HPS I/O Set 0} {locations {PIN_P17B0T PIN_P17A1T} signals {RX TX} signal_parts {{CAN_RXD(0:0) {} {}} {{} CAN_TXD(0:0) {}}} mux_selects {2 2} valid_modes CAN pins {GENERALIO13 GENERALIO14}}}} QSPI {signals_by_mode {{2 SS} {CLK IO0 IO1 IO2 IO3 SS0 SS1} {1 SS} {CLK IO0 IO1 IO2 IO3 SS0} {4 SS} {CLK IO0 IO1 IO2 IO3 SS0 SS1 SS2 SS3}} pin_sets {{HPS I/O Set 1} {locations {PIN_P24B0T PIN_P19A0T PIN_P22B0T PIN_P22B1T PIN_P23A0T PIN_P23B0T PIN_P23A1T PIN_P23B1T PIN_P24A0T} signals {SS1 SS3 SS2 IO0 IO1 IO2 IO3 SS0 CLK} signal_parts {{{} QSPI_SS_N(1:1) {}} {{} QSPI_SS_N(3:3) {}} {{} QSPI_SS_N(2:2) {}} {QSPI_MI0(0:0) QSPI_MO0(0:0) QSPI_MO_EN_N(0:0)} {QSPI_MI1(0:0) QSPI_MO1(0:0) QSPI_MO_EN_N(1:1)} {QSPI_MI2(0:0) QSPI_MO2(0:0) QSPI_MO_EN_N(2:2)} {QSPI_MI3(0:0) QSPI_MO3(0:0) QSPI_MO_EN_N(3:3)} {{} QSPI_SS_N(0:0) {}} {{} QSPI_SCLK(0:0) {}}} mux_selects {3 1 1 3 3 3 3 3 3} valid_modes {{2 SS} {1 SS} {4 SS}} pins {MIXED1IO21 MIXED1IO0 MIXED1IO13 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18 MIXED1IO19 MIXED1IO20}} {HPS I/O Set 0} {locations {PIN_P19A0T PIN_P22B0T PIN_P22A1T PIN_P22B1T PIN_P23A0T PIN_P23B0T PIN_P23A1T PIN_P23B1T PIN_P24A0T} signals {SS3 SS2 SS1 IO0 IO1 IO2 IO3 SS0 CLK} signal_parts {{{} QSPI_SS_N(3:3) {}} {{} QSPI_SS_N(2:2) {}} {{} QSPI_SS_N(1:1) {}} {QSPI_MI0(0:0) QSPI_MO0(0:0) QSPI_MO_EN_N(0:0)} {QSPI_MI1(0:0) QSPI_MO1(0:0) QSPI_MO_EN_N(1:1)} {QSPI_MI2(0:0) QSPI_MO2(0:0) QSPI_MO_EN_N(2:2)} {QSPI_MI3(0:0) QSPI_MO3(0:0) QSPI_MO_EN_N(3:3)} {{} QSPI_SS_N(0:0) {}} {{} QSPI_SCLK(0:0) {}}} mux_selects {1 1 2 3 3 3 3 3 3} valid_modes {{2 SS} {1 SS} {4 SS}} pins {MIXED1IO0 MIXED1IO13 MIXED1IO14 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18 MIXED1IO19 MIXED1IO20}}}} SPIM1 {signals_by_mode {{Dual Slave Selects} {CLK MOSI MISO SS0 SS1} {Single Slave Select} {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P17A1T PIN_P17B1T PIN_P18A0T PIN_P18B0T PIN_P18A1T} signals {SS1 CLK MOSI MISO SS0} signal_parts {{{} SPI_MASTER_SS_1_N(0:0) {}} {{} SPI_MASTER_SCLK(0:0) {}} {{} SPI_MASTER_TXD(0:0) SPI_MASTER_SSI_OE_N(0:0)} {SPI_MASTER_RXD(0:0) {} {}} {{} SPI_MASTER_SS_0_N(0:0) {}}} mux_selects {1 1 1 1 1} valid_modes {{Dual Slave Selects} {Single Slave Select}} pins {GENERALIO14 GENERALIO15 GENERALIO16 GENERALIO17 GENERALIO18}}}} NAND {signals_by_mode {{ONFI 1.0} {ALE CE CLE RE RB DQ0 DQ1 DQ2 DQ3 DQ4 DQ5 DQ6 DQ7 WP WE}} pin_sets {{HPS I/O Set 0} {locations {PIN_P19A0T PIN_P19B0T PIN_P19A1T PIN_P19B1T PIN_P20A0T PIN_P20B0T PIN_P20A1T PIN_P20B1T PIN_P21A0T PIN_P21B0T PIN_P21A1T PIN_P21B1T PIN_P22A0T PIN_P22B0T PIN_P22A1T} signals {ALE CE CLE RE RB DQ0 DQ1 DQ2 DQ3 DQ4 DQ5 DQ6 DQ7 WP WE} signal_parts {{{} NAND_ALE(0:0) {}} {{} NAND_CE_N(0:0) {}} {{} NAND_CLE(0:0) {}} {{} NAND_RE_N(0:0) {}} {NAND_RDY_BUSYN(0:0) {} {}} {NAND_ADQ_I(0:0) NAND_ADQ_O(0:0) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(1:1) NAND_ADQ_O(1:1) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(2:2) NAND_ADQ_O(2:2) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(3:3) NAND_ADQ_O(3:3) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(4:4) NAND_ADQ_O(4:4) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(5:5) NAND_ADQ_O(5:5) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(6:6) NAND_ADQ_O(6:6) NAND_ADQ_OE(0:0)} {NAND_ADQ_I(7:7) NAND_ADQ_O(7:7) NAND_ADQ_OE(0:0)} {{} NAND_WP_N(0:0) {}} {{} NAND_WE_N(0:0) {}}} mux_selects {3 3 3 3 3 3 3 3 3 3 3 3 3 3 3} valid_modes {{ONFI 1.0}} pins {MIXED1IO0 MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO5 MIXED1IO6 MIXED1IO7 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO12 MIXED1IO13 MIXED1IO14}}}} SPIM0 {signals_by_mode {{Dual Slave Selects} {CLK MOSI MISO SS0 SS1} {Single Slave Select} {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P16B0T PIN_P16A1T PIN_P16B1T PIN_P17A0T PIN_P17B0T} signals {CLK MOSI MISO SS0 SS1} signal_parts {{{} SPI_MASTER_SCLK(0:0) {}} {{} SPI_MASTER_TXD(0:0) SPI_MASTER_SSI_OE_N(0:0)} {SPI_MASTER_RXD(0:0) {} {}} {{} SPI_MASTER_SS_0_N(0:0) {}} {{} SPI_MASTER_SS_1_N(0:0) {}}} mux_selects {3 3 3 3 1} valid_modes {{Dual Slave Selects} {Single Slave Select}} pins {GENERALIO9 GENERALIO10 GENERALIO11 GENERALIO12 GENERALIO13}}}} SPIS1 {signals_by_mode {SPI {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P15B0T PIN_P15A1T PIN_P15B1T PIN_P16A0T} signals {CLK MOSI SS0 MISO} signal_parts {{SPI_SLAVE_SCLK(0:0) {} {}} {SPI_SLAVE_RXD(0:0) {} {}} {SPI_SLAVE_SS_N(0:0) {} {}} {{} SPI_SLAVE_TXD(0:0) SPI_SLAVE_SSI_OE_N(0:0)}} mux_selects {2 2 2 2} valid_modes SPI pins {GENERALIO5 GENERALIO6 GENERALIO7 GENERALIO8}}}} SPIS0 {signals_by_mode {SPI {CLK MOSI MISO SS0}} pin_sets {{HPS I/O Set 0} {locations {PIN_P14B0T PIN_P14A1T PIN_P14B1T PIN_P15A0T} signals {CLK MOSI MISO SS0} signal_parts {{SPI_SLAVE_SCLK(0:0) {} {}} {SPI_SLAVE_RXD(0:0) {} {}} {{} SPI_SLAVE_TXD(0:0) SPI_SLAVE_SSI_OE_N(0:0)} {SPI_SLAVE_SS_N(0:0) {} {}}} mux_selects {2 2 2 2} valid_modes SPI pins {GENERALIO1 GENERALIO2 GENERALIO3 GENERALIO4}}}} EMAC1 {signals_by_mode {{RGMII with I2C3} {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3} RGMII {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3 MDIO MDC}} pin_sets {{HPS I/O Set 0} {linked_peripheral_pin_set {HPS I/O Set 0} mux_selects {2 2 2 2 2 2 2 2 2 2 2 2 2 2} pins {MIXED1IO0 MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO5 MIXED1IO6 MIXED1IO7 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO12 MIXED1IO13} signals {TX_CLK TXD0 TXD1 TXD2 TXD3 RXD0 MDIO MDC RX_CTL TX_CTL RX_CLK RXD1 RXD2 RXD3} valid_modes {RGMII {RGMII with I2C3}} locations {PIN_P19A0T PIN_P19B0T PIN_P19A1T PIN_P19B1T PIN_P20A0T PIN_P20B0T PIN_P20A1T PIN_P20B1T PIN_P21A0T PIN_P21B0T PIN_P21A1T PIN_P21B1T PIN_P22A0T PIN_P22B0T} linked_peripheral I2C3 linked_peripheral_mode {Used by EMAC1} signal_parts {{{} EMAC_CLK_TX(0:0) {}} {{} EMAC_PHY_TXD(0:0) {}} {{} EMAC_PHY_TXD(1:1) {}} {{} EMAC_PHY_TXD(2:2) {}} {{} EMAC_PHY_TXD(3:3) {}} {EMAC_PHY_RXD(0:0) {} {}} {EMAC_GMII_MDO_I(0:0) EMAC_GMII_MDO_O(0:0) EMAC_GMII_MDO_OE(0:0)} {{} EMAC_GMII_MDC(0:0) {}} {EMAC_PHY_RXDV(0:0) {} {}} {{} EMAC_PHY_TX_OE(0:0) {}} {EMAC_CLK_RX(0:0) {} {}} {EMAC_PHY_RXD(1:1) {} {}} {EMAC_PHY_RXD(2:2) {} {}} {EMAC_PHY_RXD(3:3) {} {}}}}}} EMAC0 {signals_by_mode {{RGMII with I2C2} {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3} RGMII {TX_CLK TX_CTL TXD0 TXD1 TXD2 TXD3 RX_CLK RX_CTL RXD0 RXD1 RXD2 RXD3 MDIO MDC}} pin_sets {{HPS I/O Set 0} {linked_peripheral_pin_set {HPS I/O Set 0} mux_selects {3 3 3 3 3 3 3 3 3 3 3 3 3 3} pins {EMACIO0 EMACIO1 EMACIO2 EMACIO3 EMACIO4 EMACIO5 EMACIO6 EMACIO7 EMACIO8 EMACIO9 EMACIO10 EMACIO11 EMACIO12 EMACIO13} signals {TX_CLK TXD0 TXD1 TXD2 TXD3 RXD0 MDIO MDC RX_CTL TX_CTL RX_CLK RXD1 RXD2 RXD3} valid_modes {RGMII {RGMII with I2C2}} locations {PIN_P28A0T PIN_P28B0T PIN_P28A1T PIN_P28B1T PIN_P29A0T PIN_P29B0T PIN_P29A1T PIN_P29B1T PIN_P30A0T PIN_P30B0T PIN_P30A1T PIN_P30B1T PIN_P31A0T PIN_P31B0T} linked_peripheral I2C2 linked_peripheral_mode {Used by EMAC0} signal_parts {{{} EMAC_CLK_TX(0:0) {}} {{} EMAC_PHY_TXD(0:0) {}} {{} EMAC_PHY_TXD(1:1) {}} {{} EMAC_PHY_TXD(2:2) {}} {{} EMAC_PHY_TXD(3:3) {}} {EMAC_PHY_RXD(0:0) {} {}} {EMAC_GMII_MDO_I(0:0) EMAC_GMII_MDO_O(0:0) EMAC_GMII_MDO_OE(0:0)} {{} EMAC_GMII_MDC(0:0) {}} {EMAC_PHY_RXDV(0:0) {} {}} {{} EMAC_PHY_TX_OE(0:0) {}} {EMAC_CLK_RX(0:0) {} {}} {EMAC_PHY_RXD(1:1) {} {}} {EMAC_PHY_RXD(2:2) {} {}} {EMAC_PHY_RXD(3:3) {} {}}}}}} USB1 {signals_by_mode {SDR {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} {SDR without external clock} {D0 D1 D2 D3 D4 D5 D6 D7 STP DIR NXT}} pin_sets {{HPS I/O Set 1} {locations {PIN_P19B0T PIN_P19A1T PIN_P19B1T PIN_P20A0T PIN_P21A0T PIN_P21B0T PIN_P21A1T PIN_P21B1T PIN_P22B1T PIN_P23A0T PIN_P23B0T PIN_P23A1T} signals {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} signal_parts {{USB_ULPI_DATA_I(0:0) USB_ULPI_DATA_O(0:0) USB_ULPI_DATA_OE(0:0)} {USB_ULPI_DATA_I(1:1) USB_ULPI_DATA_O(1:1) USB_ULPI_DATA_OE(1:1)} {USB_ULPI_DATA_I(2:2) USB_ULPI_DATA_O(2:2) USB_ULPI_DATA_OE(2:2)} {USB_ULPI_DATA_I(3:3) USB_ULPI_DATA_O(3:3) USB_ULPI_DATA_OE(3:3)} {USB_ULPI_DATA_I(4:4) USB_ULPI_DATA_O(4:4) USB_ULPI_DATA_OE(4:4)} {USB_ULPI_DATA_I(5:5) USB_ULPI_DATA_O(5:5) USB_ULPI_DATA_OE(5:5)} {USB_ULPI_DATA_I(6:6) USB_ULPI_DATA_O(6:6) USB_ULPI_DATA_OE(6:6)} {USB_ULPI_DATA_I(7:7) USB_ULPI_DATA_O(7:7) USB_ULPI_DATA_OE(7:7)} {USB_ULPI_CLK(0:0) {} {}} {{} USB_ULPI_STP(0:0) {}} {USB_ULPI_DIR(0:0) {} {}} {USB_ULPI_NXT(0:0) {} {}}} mux_selects {1 1 1 1 1 1 1 1 1 1 1 1} valid_modes {SDR {SDR without external clock}} pins {MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18}} {HPS I/O Set 0} {locations {PIN_P28B0T PIN_P28A1T PIN_P28B1T PIN_P29A0T PIN_P29B0T PIN_P29A1T PIN_P29B1T PIN_P30A0T PIN_P30A1T PIN_P30B1T PIN_P31A0T PIN_P31B0T} signals {D0 D1 D2 D3 D4 D5 D6 D7 CLK STP DIR NXT} signal_parts {{USB_ULPI_DATA_I(0:0) USB_ULPI_DATA_O(0:0) USB_ULPI_DATA_OE(0:0)} {USB_ULPI_DATA_I(1:1) USB_ULPI_DATA_O(1:1) USB_ULPI_DATA_OE(1:1)} {USB_ULPI_DATA_I(2:2) USB_ULPI_DATA_O(2:2) USB_ULPI_DATA_OE(2:2)} {USB_ULPI_DATA_I(3:3) USB_ULPI_DATA_O(3:3) USB_ULPI_DATA_OE(3:3)} {USB_ULPI_DATA_I(4:4) USB_ULPI_DATA_O(4:4) USB_ULPI_DATA_OE(4:4)} {USB_ULPI_DATA_I(5:5) USB_ULPI_DATA_O(5:5) USB_ULPI_DATA_OE(5:5)} {USB_ULPI_DATA_I(6:6) USB_ULPI_DATA_O(6:6) USB_ULPI_DATA_OE(6:6)} {USB_ULPI_DATA_I(7:7) USB_ULPI_DATA_O(7:7) USB_ULPI_DATA_OE(7:7)} {USB_ULPI_CLK(0:0) {} {}} {{} USB_ULPI_STP(0:0) {}} {USB_ULPI_DIR(0:0) {} {}} {USB_ULPI_NXT(0:0) {} {}}} mux_selects {2 2 2 2 2 2 2 2 2 2 2 2} valid_modes {SDR {SDR without external clock}} pins {EMACIO1 EMACIO2 EMACIO3 EMACIO4 EMACIO5 EMACIO6 EMACIO7 EMACIO8 EMACIO10 EMACIO11 EMACIO12 EMACIO13}}}}} {EMACIO0 EMACIO1 EMACIO2 EMACIO3 EMACIO4 EMACIO5 EMACIO6 EMACIO7 EMACIO8 EMACIO9 EMACIO10 EMACIO11 EMACIO12 EMACIO13 MIXED1IO0 MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO5 MIXED1IO6 MIXED1IO7 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO12 MIXED1IO13 MIXED1IO14 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18 MIXED1IO19 MIXED1IO20 MIXED1IO21 FLASHIO0 FLASHIO1 FLASHIO2 FLASHIO3 FLASHIO4 FLASHIO5 FLASHIO6 FLASHIO7 FLASHIO8 FLASHIO9 FLASHIO10 FLASHIO11 GENERALIO0 GENERALIO1 GENERALIO2 GENERALIO3 GENERALIO4 GENERALIO5 GENERALIO6 GENERALIO7 GENERALIO8 GENERALIO9 GENERALIO10 GENERALIO11 GENERALIO12 GENERALIO13 GENERALIO14 GENERALIO15 GENERALIO16 GENERALIO17 GENERALIO18} {EMACIO0 EMACIO1 EMACIO2 EMACIO3 EMACIO4 EMACIO5 EMACIO6 EMACIO7 EMACIO8 EMACIO9 EMACIO10 EMACIO11 EMACIO12 EMACIO13 MIXED1IO0 MIXED1IO1 MIXED1IO2 MIXED1IO3 MIXED1IO4 MIXED1IO5 MIXED1IO6 MIXED1IO7 MIXED1IO8 MIXED1IO9 MIXED1IO10 MIXED1IO11 MIXED1IO12 MIXED1IO13 MIXED1IO14 MIXED1IO15 MIXED1IO16 MIXED1IO17 MIXED1IO18 MIXED1IO19 MIXED1IO20 MIXED1IO21 FLASHIO0 FLASHIO1 FLASHIO2 FLASHIO3 FLASHIO4 FLASHIO5 FLASHIO6 FLASHIO7 FLASHIO8 FLASHIO9 FLASHIO10 FLASHIO11 GENERALIO0 GENERALIO1 GENERALIO2 GENERALIO3 GENERALIO4 GENERALIO5 GENERALIO6 GENERALIO7 GENERALIO8 GENERALIO9 GENERALIO10 GENERALIO11 GENERALIO12 GENERALIO13 GENERALIO14 GENERALIO15 GENERALIO16 GENERALIO17 GENERALIO18} {RGMII0_TX_CLK RGMII0_TXD0 RGMII0_TXD1 RGMII0_TXD2 RGMII0_TXD3 RGMII0_RXD0 RGMII0_MDIO {RGMII0_MDC } RGMII0_RX_CTL RGMII0_TX_CTL RGMII0_RX_CLK RGMII0_RXD1 RGMII0_RXD2 RGMII0_RXD3 NAND_ALE NAND_CE NAND_CLE NAND_RE NAND_RB NAND_DQ0 NAND_DQ1 NAND_DQ2 NAND_DQ3 NAND_DQ4 NAND_DQ5 NAND_DQ6 NAND_DQ7 NAND_WP NAND_WE QSPI_IO0 QSPI_IO1 QSPI_IO2 QSPI_IO3 QSPI_SS0 QSPI_CLK QSPI_SS1 SDMMC_CMD SDMMC_PWREN SDMMC_D0 SDMMC_D1 SDMMC_D4 SDMMC_D5 SDMMC_D6 SDMMC_D7 HPS_GPIO44 SDMMC_CCLK_OUT SDMMC_D2 SDMMC_D3 TRACE_CLK TRACE_D0 TRACE_D1 TRACE_D2 TRACE_D3 TRACE_D4 TRACE_D5 TRACE_D6 TRACE_D7 SPIM0_CLK SPIM0_MOSI SPIM0_MISO SPIM0_SS0 UART0_RX UART0_TX I2C0_SDA I2C0_SCL CAN0_RX CAN0_TX} {DDRIO63_HPS DDRIO62_HPS DDRIO49_HPS DDRIO47_HPS DDRIO46_HPS DDRIO38_HPS DDRIO33_HPS DDRIO31_HPS DDRIO30_HPS DDRIO24_HPS DDRIO18_HPS DDRIO16_HPS DDRIO15_HPS DDRIO9_HPS}} periph_pll_n 1 periph_pll_m 79 CV_ENUM_WR_DWIDTH_5 DWIDTH_0 CV_ENUM_WR_DWIDTH_4 DWIDTH_0 DATA_RATE_RATIO 2 TIMING_BOARD_CK_CKN_SLEW_RATE_APPLIED 2.0 CV_ENUM_WR_DWIDTH_3 DWIDTH_0 CV_ENUM_WR_DWIDTH_2 DWIDTH_0 CV_ENUM_WR_DWIDTH_1 DWIDTH_0 CV_ENUM_WR_DWIDTH_0 DWIDTH_0 PLL_WRITE_CLK_DIV_CACHE 10 ENUM_CPORT3_WFIFO_MAP FIFO_0 CTL_RD_TO_RD_EXTRA_CLK 0 MEM_CLK_MAX_PS 2500.0 S2FCLK_USER1CLK_Enable false SDIO_Mode N/A desired_qspi_clk_mhz 400.0 MEM_TRFC 23 PLL_HR_CLK_FREQ_STR {} PLL_C2P_WRITE_CLK_PHASE_DEG 0.0 S2FINTERRUPT_L4TIMER_Enable false REF_CLK_FREQ_MAX_CACHE 500.0 DELAYED_CLOCK_PHASE_SETTING 2</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR0</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR0_MIRR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR0_CALIB</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR0_DLL_RESET</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR0_DLL_RESET_MIRR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR1</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR1_MIRR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR1_CALIB</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR1_OCD_ENABLE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR2</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR2_MIRR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR3</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_MR3_MIRR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">USE_NEG_EDGE_AC_TRANSFER_FOR_HPHY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MR0_BL</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MR0_BT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR0_CAS_LATENCY</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">MR0_DLL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR0_WR</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">MR0_PD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_DLL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_ODS</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR1_RTT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR1_AL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_WL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_TDQS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_QOFF</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_DQS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_RDQS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR2_CWL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR2_ASR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR2_SRT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR2_SRF</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR2_RTT_WR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR3_MPR_RF</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR3_MPR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR3_MPR_AA</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_BL</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MR1_BT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_WC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_WR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR2_RLWL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MR3_DS</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MR1_DS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MR1_PASR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_READ_DQS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_WRITE_DQS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">SCC_DATA_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_ADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_ADDR_WIDTH_MIN</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_ROW_ADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_COL_ADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DM_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CS_PER_RANK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_NUMBER_OF_RANKS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CS_PER_DIMM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CONTROL_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_BURST_LENGTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_LEVELING</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DQS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CHIP_BITS</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_BANKADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DQ_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CK_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CLK_EN_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_CLK_PAIR_COUNT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DEVICE_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_MAX_NS</td>
        <td class="parametervalue">2.5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_MAX_PS</td>
        <td class="parametervalue">2500.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRAS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRCD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TREFI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRFC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_TCCD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TWR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TFAW</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRRD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRTP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DQS_TO_CLK_CAPTURE_DELAY</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_TO_DQS_CAPTURE_DELAY</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_ODT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_WTCL_INT</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">FLY_BY</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">RDIMM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">LRDIMM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">RDIMM_INT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">LRDIMM_INT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_LRDIMM_RM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_RD_TO_WR_TURNAROUND_OCT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_WR_TO_RD_TURNAROUND_OCT</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">CTL_RD_TO_PCH_EXTRA_CLK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_RD_TO_RD_EXTRA_CLK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_WR_TO_WR_EXTRA_CLK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_RD_TO_RD_DIFF_CHIP_EXTRA_CLK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_WR_TO_WR_DIFF_CHIP_EXTRA_CLK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TYPE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">MEM_MIRROR_ADDRESSING_DEC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ATCL_INT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_REGDIMM_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_LRDIMM_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_VENDOR</td>
        <td class="parametervalue">JEDEC</td>
       </tr>
       <tr>
        <td class="parametername">MEM_FORMAT</td>
        <td class="parametervalue">DISCRETE</td>
       </tr>
       <tr>
        <td class="parametername">AC_PARITY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">RDIMM_CONFIG</td>
        <td class="parametervalue">0000000000000000</td>
       </tr>
       <tr>
        <td class="parametername">LRDIMM_EXTENDED_CONFIG</td>
        <td class="parametervalue">0x000000000000000000</td>
       </tr>
       <tr>
        <td class="parametername">DISCRETE_FLY_BY</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">DEVICE_DEPTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_MIRROR_ADDRESSING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_FREQ_MAX</td>
        <td class="parametervalue">400.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ROW_ADDR_WIDTH</td>
        <td class="parametervalue">12</td>
       </tr>
       <tr>
        <td class="parametername">MEM_COL_ADDR_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DQ_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DQ_PER_DQS</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">MEM_BANKADDR_WIDTH</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DM_PINS_EN</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_DQSN_EN</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_NUMBER_OF_DIMMS</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_NUMBER_OF_RANKS_PER_DIMM</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_NUMBER_OF_RANKS_PER_DEVICE</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_RANK_MULTIPLICATION_FACTOR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CK_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CS_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_EN_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">ALTMEMPHY_COMPATIBLE_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">NEXTGEN</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_BOARD_BASE_DELAY</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">MEM_IF_SIM_VALID_WINDOW</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_GUARANTEED_WRITE_INIT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_VERBOSE</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">PINGPONGPHY_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DUPLICATE_AC</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REFRESH_BURST_VALIDATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AP_MODE_EN</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AP_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_BL</td>
        <td class="parametervalue">OTF</td>
       </tr>
       <tr>
        <td class="parametername">MEM_BT</td>
        <td class="parametervalue">Sequential</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ASR</td>
        <td class="parametervalue">Manual</td>
       </tr>
       <tr>
        <td class="parametername">MEM_SRT</td>
        <td class="parametervalue">Normal</td>
       </tr>
       <tr>
        <td class="parametername">MEM_PD</td>
        <td class="parametervalue">DLL off</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DRV_STR</td>
        <td class="parametervalue">RZQ/6</td>
       </tr>
       <tr>
        <td class="parametername">MEM_DLL_EN</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_RTT_NOM</td>
        <td class="parametervalue">ODT Disabled</td>
       </tr>
       <tr>
        <td class="parametername">MEM_RTT_WR</td>
        <td class="parametervalue">Dynamic ODT off</td>
       </tr>
       <tr>
        <td class="parametername">MEM_WTCL</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ATCL</td>
        <td class="parametervalue">Disabled</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TCL</td>
        <td class="parametervalue">7</td>
       </tr>
       <tr>
        <td class="parametername">MEM_AUTO_LEVELING_MODE</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">MEM_USER_LEVELING_MODE</td>
        <td class="parametervalue">Leveling</td>
       </tr>
       <tr>
        <td class="parametername">MEM_INIT_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_INIT_FILE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">DAT_DATA_WIDTH</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TIS</td>
        <td class="parametervalue">175</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TIH</td>
        <td class="parametervalue">250</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDS</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDH</td>
        <td class="parametervalue">125</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSQ</td>
        <td class="parametervalue">120</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TQHS</td>
        <td class="parametervalue">300</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TQH</td>
        <td class="parametervalue">0.38</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSCK</td>
        <td class="parametervalue">400</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSCKDS</td>
        <td class="parametervalue">450</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSCKDM</td>
        <td class="parametervalue">900</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSCKDL</td>
        <td class="parametervalue">1200</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSS</td>
        <td class="parametervalue">0.25</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDQSH</td>
        <td class="parametervalue">0.35</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TQSH</td>
        <td class="parametervalue">0.38</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDSH</td>
        <td class="parametervalue">0.2</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_TDSS</td>
        <td class="parametervalue">0.2</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TINIT_US</td>
        <td class="parametervalue">499</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TINIT_CK</td>
        <td class="parametervalue">499</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TDQSCK</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TMRD_CK</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRAS_NS</td>
        <td class="parametervalue">40.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRCD_NS</td>
        <td class="parametervalue">15.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRP_NS</td>
        <td class="parametervalue">15.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TREFI_US</td>
        <td class="parametervalue">7.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRFC_NS</td>
        <td class="parametervalue">75.0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_TCCD_NS</td>
        <td class="parametervalue">2.5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TWR_NS</td>
        <td class="parametervalue">15.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TWTR</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TFAW_NS</td>
        <td class="parametervalue">37.5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRRD_NS</td>
        <td class="parametervalue">7.5</td>
       </tr>
       <tr>
        <td class="parametername">MEM_TRTP_NS</td>
        <td class="parametervalue">7.5</td>
       </tr>
       <tr>
        <td class="parametername">EXPORT_CSR_PORT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CSR_ADDR_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">CSR_DATA_WIDTH</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">CSR_BE_WIDTH</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_BE_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_SYMBOL_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">AVL_SIZE_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HR_DDIO_OUT_HAS_THREE_REGS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_CSR_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DWIDTH_RATIO</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ODT_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_OUTPUT_REGD</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_MULTIPLES_40_72</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_MULTIPLES_16_24_40_72</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_REGDIMM_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">LOW_LATENCY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CONTROLLER_TYPE</td>
        <td class="parametervalue">nextgen_v110</td>
       </tr>
       <tr>
        <td class="parametername">CTL_TBP_NUM</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CTL_USR_REFRESH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_SELF_REFRESH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_TYPE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_INTERFACE_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_BURST_LENGTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_ADDR_ORDER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_PDN_EXIT_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_POWER_SAVING_EXIT_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_MEM_CLK_ENTRY_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_SELF_RFSH_EXIT_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_PORT_WIDTH_WRITE_ODT_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_PORT_WIDTH_READ_ODT_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_WRITE_ODT_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_READ_ODT_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">LOCAL_CS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_CLR_INTR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_ENABLE_NO_DM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_ADD_LAT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_BURST_INTERRUPT_INT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_BURST_TERMINATE_INT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CFG_ERRCMD_FIFO_REG</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CFG_ECC_DECODER_REG</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_WDATA_PATH_LATENCY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CFG_STARVE_LIMIT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_AUTO_PD_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_PORT</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_0</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_1</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_2</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_3</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_4</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_ADDR_WIDTH_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AVL_NUM_SYMBOLS_PORT_5</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">LSB_WFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_WFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">LSB_RFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">MSB_RFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">ALLOCATED_RFIFO_PORT</td>
        <td class="parametervalue">0,None,None,None,None,None</td>
       </tr>
       <tr>
        <td class="parametername">ALLOCATED_WFIFO_PORT</td>
        <td class="parametervalue">0,None,None,None,None,None</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ATTR_COUNTER_ONE_RESET</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ATTR_COUNTER_ZERO_RESET</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ATTR_STATIC_CONFIG_VALID</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_AUTO_PCH_ENABLE_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CAL_REQ</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_BURST_LENGTH</td>
        <td class="parametervalue">BL_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_INTERFACE_WIDTH</td>
        <td class="parametervalue">DWIDTH_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_SELF_RFSH_EXIT_CYCLES</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_STARVE_LIMIT</td>
        <td class="parametervalue">STARVE_LIMIT_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CFG_TYPE</td>
        <td class="parametervalue">DDR3</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLOCK_OFF_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CLR_INTR</td>
        <td class="parametervalue">NO_CLR_INTR</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_0</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_1</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_2</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_3</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_4</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CMD_PORT_IN_USE_5</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT0_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT0_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT0_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT0_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT1_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT1_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT1_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT1_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT2_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT2_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT2_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT2_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT3_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT3_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT3_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT3_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT4_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT4_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT4_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT4_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT5_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT5_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT5_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CPORT5_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_ADDR_ORDER</td>
        <td class="parametervalue">CHIP_BANK_ROW_COL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_ECC_ENABLED</td>
        <td class="parametervalue">CTL_ECC_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_ECC_RMW_ENABLED</td>
        <td class="parametervalue">CTL_ECC_RMW_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_REGDIMM_ENABLED</td>
        <td class="parametervalue">REGDIMM_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTL_USR_REFRESH</td>
        <td class="parametervalue">CTL_USR_REFRESH_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_CTRL_WIDTH</td>
        <td class="parametervalue">DATA_WIDTH_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_DELAY_BONDING</td>
        <td class="parametervalue">BONDING_LATENCY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_DFX_BYPASS_ENABLE</td>
        <td class="parametervalue">DFX_BYPASS_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_DISABLE_MERGING</td>
        <td class="parametervalue">MERGING_ENABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ECC_DQ_WIDTH</td>
        <td class="parametervalue">ECC_DQ_WIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_ATPG</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BONDING_WRAPBACK</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_DQS_TRACKING</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_ECC_CODE_OVERWRITES</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_FAST_EXIT_PPD</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_INTR</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_NO_DM</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_PIPELINEGLOBAL</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_GANGED_ARF</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_GEN_DBE</td>
        <td class="parametervalue">GEN_DBE_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_GEN_SBE</td>
        <td class="parametervalue">GEN_SBE_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_INC_SYNC</td>
        <td class="parametervalue">FIFO_SET_2</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_LOCAL_IF_CS_WIDTH</td>
        <td class="parametervalue">ADDR_WIDTH_2</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MASK_CORR_DROPPED_INTR</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MASK_DBE_INTR</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MASK_SBE_INTR</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_AL</td>
        <td class="parametervalue">AL_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_BANKADDR_WIDTH</td>
        <td class="parametervalue">ADDR_WIDTH_3</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_BURSTLENGTH</td>
        <td class="parametervalue">MEM_IF_BURSTLENGTH_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_COLADDR_WIDTH</td>
        <td class="parametervalue">ADDR_WIDTH_12</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_CS_PER_RANK</td>
        <td class="parametervalue">MEM_IF_CS_PER_RANK_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_CS_WIDTH</td>
        <td class="parametervalue">MEM_IF_CS_WIDTH_1</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_DQ_PER_CHIP</td>
        <td class="parametervalue">MEM_IF_DQ_PER_CHIP_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_DQS_WIDTH</td>
        <td class="parametervalue">DQS_WIDTH_4</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_DWIDTH</td>
        <td class="parametervalue">MEM_IF_DWIDTH_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_MEMTYPE</td>
        <td class="parametervalue">DDR3_SDRAM</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_ROWADDR_WIDTH</td>
        <td class="parametervalue">ADDR_WIDTH_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_SPEEDBIN</td>
        <td class="parametervalue">DDR3_1066_6_6_6</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TCCD</td>
        <td class="parametervalue">TCCD_4</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TCL</td>
        <td class="parametervalue">TCL_6</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TCWL</td>
        <td class="parametervalue">TCWL_5</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TFAW</td>
        <td class="parametervalue">TFAW_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TMRD</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRAS</td>
        <td class="parametervalue">TRAS_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRC</td>
        <td class="parametervalue">TRC_22</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRCD</td>
        <td class="parametervalue">TRCD_6</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRP</td>
        <td class="parametervalue">TRP_6</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRRD</td>
        <td class="parametervalue">TRRD_4</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TRTP</td>
        <td class="parametervalue">TRTP_4</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TWR</td>
        <td class="parametervalue">TWR_6</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MEM_IF_TWTR</td>
        <td class="parametervalue">TWTR_4</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_MMR_CFG_MEM_BL</td>
        <td class="parametervalue">MP_BL_8</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_OUTPUT_REGD</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PDN_EXIT_CYCLES</td>
        <td class="parametervalue">SLOW_EXIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT0_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT1_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT2_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT3_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT4_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PORT5_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_0_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_1_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_2_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_3_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_4_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_5_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_6_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_PRIORITY_7_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_STATIC_WEIGHT_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_0</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_1</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_2</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_3</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_4</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RCFG_USER_PRIORITY_5</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_0</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_1</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_2</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_3</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_4</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_DWIDTH_5</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_FIFO_IN_USE_0</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_FIFO_IN_USE_1</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_FIFO_IN_USE_2</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_FIFO_IN_USE_3</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_0</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_1</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_2</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_3</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_4</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RD_PORT_INFO_5</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_READ_ODT_CHIP</td>
        <td class="parametervalue">ODT_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_REORDER_DATA</td>
        <td class="parametervalue">DATA_REORDERING</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RFIFO0_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RFIFO1_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RFIFO2_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_RFIFO3_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SINGLE_READY_0</td>
        <td class="parametervalue">CONCATENATE_RDY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SINGLE_READY_1</td>
        <td class="parametervalue">CONCATENATE_RDY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SINGLE_READY_2</td>
        <td class="parametervalue">CONCATENATE_RDY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SINGLE_READY_3</td>
        <td class="parametervalue">CONCATENATE_RDY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_STATIC_WEIGHT_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_0</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_1</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_2</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_3</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_4</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_SYNC_MODE_5</td>
        <td class="parametervalue">ASYNCHRONOUS</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_TEST_MODE</td>
        <td class="parametervalue">NORMAL_MODE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_0</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_1</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_2</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_3</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_4</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR1_5</td>
        <td class="parametervalue">THRESHOLD_32</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_0</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_1</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_2</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_3</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_4</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_THLD_JAR2_5</td>
        <td class="parametervalue">THRESHOLD_16</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USE_ALMOST_EMPTY_0</td>
        <td class="parametervalue">EMPTY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USE_ALMOST_EMPTY_1</td>
        <td class="parametervalue">EMPTY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USE_ALMOST_EMPTY_2</td>
        <td class="parametervalue">EMPTY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USE_ALMOST_EMPTY_3</td>
        <td class="parametervalue">EMPTY</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_ECC_EN</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_0</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_1</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_2</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_3</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_4</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_USER_PRIORITY_5</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO0_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO0_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO1_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO1_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO2_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO2_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO3_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WFIFO3_RDY_ALMOST_FULL</td>
        <td class="parametervalue">NOT_FULL</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_0</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_1</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_2</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_3</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_4</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_DWIDTH_5</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_FIFO_IN_USE_0</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_FIFO_IN_USE_1</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_FIFO_IN_USE_2</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_FIFO_IN_USE_3</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_0</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_1</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_2</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_3</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_4</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WR_PORT_INFO_5</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_WRITE_ODT_CHIP</td>
        <td class="parametervalue">ODT_DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">INTG_MEM_AUTO_PD_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_CYC_TO_RLD_JARS_5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ACT_TO_ACT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ACT_TO_ACT_DIFF_BANK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ACT_TO_PCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ACT_TO_RDWR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ARF_PERIOD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_ARF_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_FOUR_ACT_TO_ACT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_PCH_ALL_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_PCH_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_PDN_PERIOD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_PDN_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_AP_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_PCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_RD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_RD_DIFF_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_WR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_WR_BC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_RD_TO_WR_DIFF_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_SRF_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_SRF_TO_ZQ_CAL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_AP_TO_VALID</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_PCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_RD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_RD_BC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_RD_DIFF_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_WR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_EXTRA_CTL_CLK_WR_TO_WR_DIFF_CHIP</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_MEM_IF_TREFI</td>
        <td class="parametervalue">3120</td>
       </tr>
       <tr>
        <td class="parametername">INTG_MEM_IF_TRFC</td>
        <td class="parametervalue">34</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_RCFG_SUM_WT_PRIORITY_7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_SUM_WT_PRIORITY_7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_COUNTER_ONE_MASK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_COUNTER_ONE_MATCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_COUNTER_ZERO_MASK</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_COUNTER_ZERO_MATCH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VECT_ATTR_DEBUG_SELECT_BYTE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">INTG_POWER_SAVING_EXIT_CYCLES</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">INTG_MEM_CLK_ENTRY_CYCLES</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BURST_INTERRUPT</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">ENUM_ENABLE_BURST_TERMINATE</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_0_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_0_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_0</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_0</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_0</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT0_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT0_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT0_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_0</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT0_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_0</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_0</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_0</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_0</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_0</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_0</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_0</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_1_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_1_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_1</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_1</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_1</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT1_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT1_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT1_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_1</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT1_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_1</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_1</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_1</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_1</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_1</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_1</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_1</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_2_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_2_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_2</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_2</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_2</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT2_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT2_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT2_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_2</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT2_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_2</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_2</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_2</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_2</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_2</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_2</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_2</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_3_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_3_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_3</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_3</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_3</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT3_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT3_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT3_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_3</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT3_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_3</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_3</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_3</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_3</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_3</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_3</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_3</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_4_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_4_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_4</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_4</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_4</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT4_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT4_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT4_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_4</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT4_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_4</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_4</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_4</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_4</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_4</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_4</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_4</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AV_PORT_5_CONNECT_TO_CV_PORT</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_PORT_5_CONNECT_TO_AV_PORT</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_DATA_WIDTH_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_ADDR_WIDTH_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_CPORT_TYPE_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_AVL_NUM_SYMBOLS_PORT_5</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_WFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_WFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_LSB_RFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_MSB_RFIFO_PORT_5</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_AUTO_PCH_ENABLE_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CMD_PORT_IN_USE_5</td>
        <td class="parametervalue">FALSE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT5_RFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT5_TYPE</td>
        <td class="parametervalue">DISABLE</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_CPORT5_WFIFO_MAP</td>
        <td class="parametervalue">FIFO_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_ENABLE_BONDING_5</td>
        <td class="parametervalue">DISABLED</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PORT5_WIDTH</td>
        <td class="parametervalue">PORT_64_BIT</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_0_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_1_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_2_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_3_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_4_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_5_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_6_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_PRIORITY_7_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_STATIC_WEIGHT_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RCFG_USER_PRIORITY_5</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_DWIDTH_5</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RD_PORT_INFO_5</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_STATIC_WEIGHT_5</td>
        <td class="parametervalue">WEIGHT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_USER_PRIORITY_5</td>
        <td class="parametervalue">PRIORITY_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_DWIDTH_5</td>
        <td class="parametervalue">DWIDTH_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WR_PORT_INFO_5</td>
        <td class="parametervalue">USE_NO</td>
       </tr>
       <tr>
        <td class="parametername">TG_TEMP_PORT_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RFIFO0_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WFIFO0_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RFIFO1_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WFIFO1_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RFIFO2_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WFIFO2_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_RFIFO3_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_ENUM_WFIFO3_CPORT_MAP</td>
        <td class="parametervalue">CMD_PORT_0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_RCFG_SUM_WT_PRIORITY_7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CV_INTG_SUM_WT_PRIORITY_7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CONTINUE_AFTER_CAL_FAIL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MAX10_CFG</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">POWER_OF_TWO_BUS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">SOPC_COMPAT_RESET</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AVL_MAX_SIZE</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">BYTE_ENABLE</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_CTRL_AVALON_INTERFACE</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">CTL_DEEP_POWERDN_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_SELF_REFRESH_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_POWERDN_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_PD_CYCLES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_USR_REFRESH_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_AUTOPCH_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ZQCAL_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ADDR_ORDER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CTL_LOOK_AHEAD_DEPTH</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">CONTROLLER_LATENCY</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">CFG_REORDER_DATA</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">STARVE_LIMIT</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CSR_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CSR_CONNECTION</td>
        <td class="parametervalue">INTERNAL_JTAG</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_HRB_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ECC_AUTO_CORRECTION_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MULTICAST_EN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_DYNAMIC_BANK_ALLOCATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_DYNAMIC_BANK_NUM</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">DEBUG_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_BURST_MERGE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_BURST_INTERRUPT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_ENABLE_BURST_TERMINATE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">LOCAL_ID_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">RDBUFFER_ADDR_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">WRBUFFER_ADDR_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">MAX_PENDING_WR_CMD</td>
        <td class="parametervalue">16</td>
       </tr>
       <tr>
        <td class="parametername">MAX_PENDING_RD_CMD</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">USE_MM_ADAPTOR</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">USE_AXI_ADAPTOR</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HCX_COMPAT_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CMD_QUEUE_DEPTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">CTL_CSR_READ_ONLY</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">CFG_DATA_REORDERING_TYPE</td>
        <td class="parametervalue">INTER_BANK</td>
       </tr>
       <tr>
        <td class="parametername">NUM_OF_PORTS</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_BONDING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_USER_ECC</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AVL_DATA_WIDTH_PORT</td>
        <td class="parametervalue">32,32,32,32,32,32</td>
       </tr>
       <tr>
        <td class="parametername">PRIORITY_PORT</td>
        <td class="parametervalue">1,1,1,1,1,1</td>
       </tr>
       <tr>
        <td class="parametername">WEIGHT_PORT</td>
        <td class="parametervalue">0,0,0,0,0,0</td>
       </tr>
       <tr>
        <td class="parametername">CPORT_TYPE_PORT</td>
        <td class="parametervalue">Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional</td>
       </tr>
       <tr>
        <td class="parametername">CORE_PERIPHERY_DUAL_CLOCK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_DR_CLK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DLL_USE_DR_CLK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_2X_FF</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DUAL_WRITE_CLOCK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">GENERIC_PLL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_HARD_READ_FIFO</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">READ_FIFO_HALF_RATE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MASTER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DLL_MASTER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PHY_VERSION_NUMBER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NIOS_OCI</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_EMIT_JTAG_MASTER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NIOS_JTAG_UART</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NIOS_PRINTF_OUTPUT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_LARGE_RW_MGR_DI_BUFFER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_EMIT_BFM_MASTER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_SEQUENCER_TCL_DEBUG_MODE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_SEQUENCER_MARGINING_ON_BY_DEFAULT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_MAX_SIZE_SEQ_MEM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MAKE_INTERNAL_NIOS_VISIBLE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DEPLOY_SEQUENCER_SW_FILES_FOR_DEBUG</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_CSR_SOFT_RESET_REQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DUPLICATE_PLL_FOR_PHY_CLK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MAX_LATENCY_COUNT_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">READ_VALID_FIFO_SIZE</td>
        <td class="parametervalue">16</td>
       </tr>
       <tr>
        <td class="parametername">EXTRA_VFIFO_SHIFT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">TB_MEM_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TB_RATE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">TB_MEM_IF_DQ_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">TB_MEM_IF_READ_DQS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">TB_PLL_DLL_MASTER</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">FAST_SIM_CALIBRATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ</td>
        <td class="parametervalue">125.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_NS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_PS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_DEG</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_SIM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_DEG_SIM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_MULT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_DIV</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_CACHE_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_PARAM_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_MIN_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_MAX_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_MIN_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_MAX_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_DR_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_MEM_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_WRITE_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_ADDR_CMD_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_HALF_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_NIOS_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CONFIG_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_P2C_READ_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_C2P_WRITE_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_HR_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_PARAM</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_MULT_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_DIV_PARAM</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_FREQ_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_MULT_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_AFI_PHY_CLK_DIV_CACHE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">SPEED_GRADE_CACHE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">IS_ES_DEVICE_CACHE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">REF_CLK_FREQ_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">RATE_CACHE</td>
        <td class="parametervalue">Unknown</td>
       </tr>
       <tr>
        <td class="parametername">HCX_COMPAT_MODE_CACHE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY_CACHE</td>
        <td class="parametervalue">Unknown</td>
       </tr>
       <tr>
        <td class="parametername">COMMAND_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CK_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">P2C_READ_CLOCK_ADD_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">C2P_WRITE_CLOCK_ADD_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">ACV_PHY_CLK_ADD_FR_PHASE_CACHE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">SEQUENCER_TYPE_CACHE</td>
        <td class="parametervalue">Unknown</td>
       </tr>
       <tr>
        <td class="parametername">USE_MEM_CLK_FREQ_CACHE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CLK_CACHE_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PLL_CLK_PARAM_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_EXTRA_REPORTING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">NUM_EXTRA_REPORT_PATH</td>
        <td class="parametervalue">10</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_ISS_PROBES</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CALIB_REG_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">USE_SEQUENCER_BFM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PLL_SHARING_MODE</td>
        <td class="parametervalue">None</td>
       </tr>
       <tr>
        <td class="parametername">NUM_PLL_SHARING_INTERFACES</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">EXPORT_AFI_HALF_CLK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ABSTRACT_REAL_COMPARE_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">INCLUDE_BOARD_DELAY_MODEL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">INCLUDE_MULTIRANK_BOARD_DELAY_MODEL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_FAKE_PHY_INTERNAL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_FAKE_PHY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_MAX_LATENCY_COUNT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">USE_ALL_AFI_PHASES_FOR_COMMAND_ISSUE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NON_DESTRUCTIVE_CALIB</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FIX_READ_LATENCY</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">USE_USER_RDIMM_VALUE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_DELAY_CHAIN_WRITE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TRACKING_ERROR_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TRACKING_WATCH_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MARGIN_VARIATION_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_USER_ADD_0</td>
        <td class="parametervalue">0_0000_0000_0000</td>
       </tr>
       <tr>
        <td class="parametername">AC_ROM_USER_ADD_1</td>
        <td class="parametervalue">0_0000_0000_1000</td>
       </tr>
       <tr>
        <td class="parametername">TREFI</td>
        <td class="parametervalue">35100</td>
       </tr>
       <tr>
        <td class="parametername">REFRESH_INTERVAL</td>
        <td class="parametervalue">15000</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NON_DES_CAL_TEST</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TRFC</td>
        <td class="parametervalue">350</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_NON_DES_CAL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">EXTRA_SETTINGS</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">MEM_DEVICE</td>
        <td class="parametervalue">MISSING_MODEL</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_SYNTHESIS_LANGUAGE</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">NUM_SUBGROUP_PER_READ_DQS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">QVLD_EXTRA_FLOP_STAGES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">QVLD_WR_ADDRESS_OFFSET</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MAX_WRITE_LATENCY_COUNT_WIDTH</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">NUM_WRITE_PATH_FLOP_STAGES</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">NUM_AC_FR_CYCLE_SHIFTS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">FORCED_NUM_WRITE_FR_CYCLE_SHIFTS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">NUM_WRITE_FR_CYCLE_SHIFTS</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PERFORM_READ_AFTER_WRITE_CALIBRATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">SEQ_BURST_COUNT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">VCALIB_COUNT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PLL_PHASE_COUNTER_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DQS_DELAY_CHAIN_PHASE_SETTING</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">DQS_PHASE_SHIFT</td>
        <td class="parametervalue">9000</td>
       </tr>
       <tr>
        <td class="parametername">DELAYED_CLOCK_PHASE_SETTING</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQS_IN_RESERVE</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQS_OUT_RESERVE</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQ_OUT_RESERVE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_DM_OUT_RESERVE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQS_EN_DELAY_OFFSET</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQS_EN_PHASE_MAX</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_DQDQS_OUT_PHASE_MAX</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IO_SHIFT_DQS_EN_WHEN_SHIFT_DQS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_NS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_PS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">CALIB_LFIFO_OFFSET</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">CALIB_VFIFO_OFFSET</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_PER_OPA_TAP</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_PER_DCHAIN_TAP</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_PER_DQS_EN_DCHAIN_TAP</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">DQS_EN_DELAY_MAX</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">DQS_IN_DELAY_MAX</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">IO_IN_DELAY_MAX</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">IO_OUT1_DELAY_MAX</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">IO_OUT2_DELAY_MAX</td>
        <td class="parametervalue">-1</td>
       </tr>
       <tr>
        <td class="parametername">IO_STANDARD</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">VFIFO_AS_SHIFT_REG</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">SEQUENCER_TYPE</td>
        <td class="parametervalue">NIOS</td>
       </tr>
       <tr>
        <td class="parametername">NIOS_HEX_FILE_LOCATION</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">ADVERTIZE_SEQUENCER_SW_BUILD_FILES</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">NEGATIVE_WRITE_CK_PHASE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_T_WL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_T_RL</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">PHY_CLKBUF</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_LDC_AS_LOW_SKEW_CLOCK</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_LDC_FOR_ADDR_CMD</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_LDC_MEM_CK_ADJUSTMENT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CK_LDC_ADJUSTMENT_THRESHOLD</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">LDC_FOR_ADDR_CMD_MEM_CK_CPS_INVERT</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">LDC_FOR_ADDR_CMD_MEM_CK_CPS_PHASE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">FORCED_NON_LDC_ADDR_CMD_MEM_CK_INVERT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">NON_LDC_ADDR_CMD_MEM_CK_INVERT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">REGISTER_C2P</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">EARLY_ADDR_CMD_CLK_TRANSFER</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">MAX10_RTL_SEQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PHY_ONLY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">SEQ_MODE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">ADVANCED_CK_PHASES</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">COMMAND_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CK_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">P2C_READ_CLOCK_ADD_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">C2P_WRITE_CLOCK_ADD_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">ACV_PHY_CLK_ADD_FR_PHASE</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">MEM_VOLTAGE</td>
        <td class="parametervalue">1.5V DDR3</td>
       </tr>
       <tr>
        <td class="parametername">PLL_LOCATION</td>
        <td class="parametervalue">Top_Bottom</td>
       </tr>
       <tr>
        <td class="parametername">SKIP_MEM_INIT</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">READ_DQ_DQS_CLOCK_SOURCE</td>
        <td class="parametervalue">INVERTED_DQS_BUS</td>
       </tr>
       <tr>
        <td class="parametername">DQ_INPUT_REG_USE_CLKN</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DQS_DQSN_MODE</td>
        <td class="parametervalue">DIFFERENTIAL</td>
       </tr>
       <tr>
        <td class="parametername">AFI_DEBUG_INFO_WIDTH</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">CALIBRATION_MODE</td>
        <td class="parametervalue">Skip</td>
       </tr>
       <tr>
        <td class="parametername">NIOS_ROM_DATA_WIDTH</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">NIOS_ROM_ADDRESS_WIDTH</td>
        <td class="parametervalue">13</td>
       </tr>
       <tr>
        <td class="parametername">READ_FIFO_SIZE</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">PHY_CSR_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PHY_CSR_CONNECTION</td>
        <td class="parametervalue">INTERNAL_JTAG</td>
       </tr>
       <tr>
        <td class="parametername">USER_DEBUG_LEVEL</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DERATE_METHOD</td>
        <td class="parametervalue">AUTO</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_CK_CKN_SLEW_RATE</td>
        <td class="parametervalue">2.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_SLEW_RATE</td>
        <td class="parametervalue">1.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQS_DQSN_SLEW_RATE</td>
        <td class="parametervalue">2.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_SLEW_RATE</td>
        <td class="parametervalue">1.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_CK_CKN_SLEW_RATE_APPLIED</td>
        <td class="parametervalue">2.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_SLEW_RATE_APPLIED</td>
        <td class="parametervalue">1.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQS_DQSN_SLEW_RATE_APPLIED</td>
        <td class="parametervalue">2.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_SLEW_RATE_APPLIED</td>
        <td class="parametervalue">1.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TIS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TIH</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TDS</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TDH</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TIS_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TIH_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TDS_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_TDH_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_ISI_METHOD</td>
        <td class="parametervalue">AUTO</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_EYE_REDUCTION_SU</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_EYE_REDUCTION_H</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_EYE_REDUCTION</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DELTA_DQS_ARRIVAL_TIME</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_READ_DQ_EYE_REDUCTION</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DELTA_READ_DQS_ARRIVAL_TIME</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_EYE_REDUCTION_SU_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_EYE_REDUCTION_H_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_EYE_REDUCTION_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DELTA_DQS_ARRIVAL_TIME_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_READ_DQ_EYE_REDUCTION_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DELTA_READ_DQS_ARRIVAL_TIME_APPLIED</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">PACKAGE_DESKEW</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">AC_PACKAGE_DESKEW</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_MAX_CK_DELAY</td>
        <td class="parametervalue">0.6</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_MAX_DQS_DELAY</td>
        <td class="parametervalue">0.6</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_CKDQS_DIMM_MIN</td>
        <td class="parametervalue">-0.01</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_CKDQS_DIMM_MIN_APPLIED</td>
        <td class="parametervalue">-0.01</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_CKDQS_DIMM_MAX</td>
        <td class="parametervalue">0.01</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_CKDQS_DIMM_MAX_APPLIED</td>
        <td class="parametervalue">0.01</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_BETWEEN_DIMMS</td>
        <td class="parametervalue">0.05</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_BETWEEN_DIMMS_APPLIED</td>
        <td class="parametervalue">0.05</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_WITHIN_DQS</td>
        <td class="parametervalue">0.02</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_SKEW_BETWEEN_DQS</td>
        <td class="parametervalue">0.02</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_DQ_TO_DQS_SKEW</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_SKEW</td>
        <td class="parametervalue">0.02</td>
       </tr>
       <tr>
        <td class="parametername">TIMING_BOARD_AC_TO_CK_SKEW</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">RATE</td>
        <td class="parametervalue">Full</td>
       </tr>
       <tr>
        <td class="parametername">MEM_CLK_FREQ</td>
        <td class="parametervalue">300.0</td>
       </tr>
       <tr>
        <td class="parametername">USE_MEM_CLK_FREQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_DQS_TRACKING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_DQS_TRACKING</td>
        <td class="parametervalue">AUTO</td>
       </tr>
       <tr>
        <td class="parametername">USE_HPS_DQS_TRACKING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">TRK_PARALLEL_SCC_LOAD</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">USE_SHADOW_REGS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">FORCE_SHADOW_REGS</td>
        <td class="parametervalue">AUTO</td>
       </tr>
       <tr>
        <td class="parametername">DQ_DDR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">ADDR_CMD_DDR</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_RATE_RATIO</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_RATE_RATIO</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">ADDR_RATE_RATIO</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_ADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_BANKADDR_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_CONTROL_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_CS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_CLK_EN_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_DM_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_DQ_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_ODT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_WRITE_DQS_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_RLAT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_WLAT_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_RRANK_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_WRANK_WIDTH</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AFI_CLK_PAIR_COUNT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">MRS_MIRROR_PING_PONG_ATSO</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">SYS_INFO_DEVICE_FAMILY</td>
        <td class="parametervalue">CYCLONEV</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">DEVICE_FAMILY</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">PRE_V_SERIES_FAMILY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY_CACHE_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY_PARAM_VALID</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">PARSE_FRIENDLY_DEVICE_FAMILY_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">DEVICE_FAMILY_PARAM</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">SPEED_GRADE</td>
        <td class="parametervalue">7</td>
       </tr>
       <tr>
        <td class="parametername">IS_ES_DEVICE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">DISABLE_CHILD_MESSAGING</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HARD_PHY</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HARD_EMIF</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">HHP_HPS</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">HHP_HPS_VERIFICATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HHP_HPS_SIMULATION</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">HPS_PROTOCOL</td>
        <td class="parametervalue">DDR3</td>
       </tr>
       <tr>
        <td class="parametername">CUT_NEW_FAMILY_TIMING</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_EXPORT_SEQ_DEBUG_BRIDGE</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">CORE_DEBUG_CONNECTION</td>
        <td class="parametervalue">EXPORT</td>
       </tr>
       <tr>
        <td class="parametername">ADD_EXTERNAL_SEQ_DEBUG_NIOS</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ED_EXPORT_SEQ_DEBUG</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ADD_EFFICIENCY_MONITOR</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_ABS_RAM_MEM_INIT</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_ABS_RAM_INTERNAL</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ENABLE_ABSTRACT_RAM</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">ABS_RAM_MEM_INIT_FILENAME</td>
        <td class="parametervalue">meminit</td>
       </tr>
       <tr>
        <td class="parametername">DLL_DELAY_CTRL_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">DLL_OFFSET_CTRL_WIDTH</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_BUFFER_MODE</td>
        <td class="parametervalue">HIGH</td>
       </tr>
       <tr>
        <td class="parametername">DELAY_CHAIN_LENGTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">DLL_SHARING_MODE</td>
        <td class="parametervalue">None</td>
       </tr>
       <tr>
        <td class="parametername">NUM_DLL_SHARING_INTERFACES</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">OCT_TERM_CONTROL_WIDTH</td>
        <td class="parametervalue">14</td>
       </tr>
       <tr>
        <td class="parametername">OCT_SHARING_MODE</td>
        <td class="parametervalue">None</td>
       </tr>
       <tr>
        <td class="parametername">NUM_OCT_SHARING_INTERFACES</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_DEVICE</td>
        <td class="parametervalue">5CSEMA4U23C6</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_DEVICE_SPEEDGRADE</td>
        <td class="parametervalue">6</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_clk_0"> </a>
  <div>
   <hr/>
   <h2>hps_0_clk_0</h2>hps_clk_src v17.1
   <br/>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_bridges"> </a>
  <div>
   <hr/>
   <h2>hps_0_bridges</h2>hps_bridge_avalon v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="78">hps_0_bridges</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_h2f</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_h2f</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;h2f_axi_clock</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_led_pio_test">led_pio_test</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_timecode_rx">timecode_rx</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_timecode_ready_rx">timecode_ready_rx</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_data_flag_rx">data_flag_rx</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_data_read_en_rx">data_read_en_rx</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_fifo_full_rx_status">fifo_full_rx_status</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_fifo_empty_rx_status">fifo_empty_rx_status</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_link_start">link_start</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_auto_start">auto_start</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_link_disable">link_disable</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_write_data_fifo_tx">write_data_fifo_tx</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_write_en_tx">write_en_tx</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_fifo_full_tx_status">fifo_full_tx_status</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_fifo_empty_tx_status">fifo_empty_tx_status</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_timecode_tx_data">timecode_tx_data</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_timecode_tx_enable">timecode_tx_enable</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_timecode_tx_ready">timecode_tx_ready</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_data_info">data_info</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_clock_sel">clock_sel</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_fsm_info">fsm_info</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_counter_tx_fifo">counter_tx_fifo</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_counter_rx_fifo">counter_rx_fifo</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;s1</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">address_map</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">F2S_Width</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">S2F_Width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">LWH2F_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">F2SDRAM_Width</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">F2SDRAM_Type</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">BONDING_OUT_ENABLED</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">quartus_ini_hps_ip_f2sdram_bonding_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">F2H_SDRAM0_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">F2H_SDRAM1_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">F2H_SDRAM2_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">F2H_SDRAM3_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">F2H_SDRAM4_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">F2H_SDRAM5_CLOCK_FREQ</td>
        <td class="parametervalue">100</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_eosc1"> </a>
  <div>
   <hr/>
   <h2>hps_0_eosc1</h2>hps_virt_clk v17.1
   <br/>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">clockFrequency</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_eosc2"> </a>
  <div>
   <hr/>
   <h2>hps_0_eosc2</h2>hps_virt_clk v17.1
   <br/>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">clockFrequency</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_f2s_periph_ref_clk"> </a>
  <div>
   <hr/>
   <h2>hps_0_f2s_periph_ref_clk</h2>hps_virt_clk v17.1
   <br/>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">clockFrequency</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_f2s_sdram_ref_clk"> </a>
  <div>
   <hr/>
   <h2>hps_0_f2s_sdram_ref_clk</h2>hps_virt_clk v17.1
   <br/>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">clockFrequency</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_arm_a9_0"> </a>
  <div>
   <hr/>
   <h2>hps_0_arm_a9_0</h2>arm_a9 v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="131">hps_0_arm_a9_0</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_h2f</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_L2">hps_0_L2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_dma">hps_0_dma</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_sysmgr">hps_0_sysmgr</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_rstmgr">hps_0_rstmgr</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_fpgamgr">hps_0_fpgamgr</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_uart0">hps_0_uart0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_uart1">hps_0_uart1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer0">hps_0_timer0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer1">hps_0_timer1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer2">hps_0_timer2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer3">hps_0_timer3</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_wd_timer0">hps_0_wd_timer0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_wd_timer1">hps_0_wd_timer1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gpio0">hps_0_gpio0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gpio1">hps_0_gpio1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gpio2">hps_0_gpio2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c0">hps_0_i2c0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c1">hps_0_i2c1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c2">hps_0_i2c2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c3">hps_0_i2c3</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_nand0">hps_0_nand0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_spim0">hps_0_spim0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_spim1">hps_0_spim1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_qspi">hps_0_qspi</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_sdmmc">hps_0_sdmmc</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_usb0">hps_0_usb0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_usb1">hps_0_usb1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gmac0">hps_0_gmac0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gmac1">hps_0_gmac1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_dcan0">hps_0_dcan0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_dcan1">hps_0_dcan1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_l3regs">hps_0_l3regs</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_sdrctl">hps_0_sdrctl</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_axi_ocram">hps_0_axi_ocram</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_axi_sdram">hps_0_axi_sdram</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer">hps_0_timer</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_scu">hps_0_scu</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">address_map</td>
        <td class="parametervalue">&lt;address-map&gt;&lt;slave name='axi_sdram.axi_slave0' start='0x0' end='0x80000000' /&gt;&lt;slave name='bridges.axi_h2f' start='0xC0000000' end='0xE0000000' /&gt;&lt;slave name='gmac0.axi_slave0' start='0xFF700000' end='0xFF702000' /&gt;&lt;slave name='gmac1.axi_slave0' start='0xFF702000' end='0xFF704000' /&gt;&lt;slave name='sdmmc.axi_slave0' start='0xFF704000' end='0xFF705000' /&gt;&lt;slave name='qspi.axi_slave0' start='0xFF705000' end='0xFF705100' /&gt;&lt;slave name='fpgamgr.axi_slave0' start='0xFF706000' end='0xFF707000' /&gt;&lt;slave name='gpio0.axi_slave0' start='0xFF708000' end='0xFF708100' /&gt;&lt;slave name='gpio1.axi_slave0' start='0xFF709000' end='0xFF709100' /&gt;&lt;slave name='gpio2.axi_slave0' start='0xFF70A000' end='0xFF70A100' /&gt;&lt;slave name='l3regs.axi_slave0' start='0xFF800000' end='0xFF801000' /&gt;&lt;slave name='nand0.axi_slave0' start='0xFF900000' end='0xFF910000' /&gt;&lt;slave name='qspi.axi_slave1' start='0xFFA00000' end='0xFFA00100' /&gt;&lt;slave name='usb0.axi_slave0' start='0xFFB00000' end='0xFFB40000' /&gt;&lt;slave name='usb1.axi_slave0' start='0xFFB40000' end='0xFFB80000' /&gt;&lt;slave name='nand0.axi_slave1' start='0xFFB80000' end='0xFFB90000' /&gt;&lt;slave name='fpgamgr.axi_slave1' start='0xFFB90000' end='0xFFB90100' /&gt;&lt;slave name='dcan0.axi_slave0' start='0xFFC00000' end='0xFFC01000' /&gt;&lt;slave name='dcan1.axi_slave0' start='0xFFC01000' end='0xFFC02000' /&gt;&lt;slave name='uart0.axi_slave0' start='0xFFC02000' end='0xFFC02100' /&gt;&lt;slave name='uart1.axi_slave0' start='0xFFC03000' end='0xFFC03100' /&gt;&lt;slave name='i2c0.axi_slave0' start='0xFFC04000' end='0xFFC04100' /&gt;&lt;slave name='i2c1.axi_slave0' start='0xFFC05000' end='0xFFC05100' /&gt;&lt;slave name='i2c2.axi_slave0' start='0xFFC06000' end='0xFFC06100' /&gt;&lt;slave name='i2c3.axi_slave0' start='0xFFC07000' end='0xFFC07100' /&gt;&lt;slave name='timer0.axi_slave0' start='0xFFC08000' end='0xFFC08100' /&gt;&lt;slave name='timer1.axi_slave0' start='0xFFC09000' end='0xFFC09100' /&gt;&lt;slave name='sdrctl.axi_slave0' start='0xFFC25000' end='0xFFC26000' /&gt;&lt;slave name='timer2.axi_slave0' start='0xFFD00000' end='0xFFD00100' /&gt;&lt;slave name='timer3.axi_slave0' start='0xFFD01000' end='0xFFD01100' /&gt;&lt;slave name='wd_timer0.axi_slave0' start='0xFFD02000' end='0xFFD02100' /&gt;&lt;slave name='wd_timer1.axi_slave0' start='0xFFD03000' end='0xFFD03100' /&gt;&lt;slave name='clkmgr.axi_slave0' start='0xFFD04000' end='0xFFD05000' /&gt;&lt;slave name='rstmgr.axi_slave0' start='0xFFD05000' end='0xFFD05100' /&gt;&lt;slave name='sysmgr.axi_slave0' start='0xFFD08000' end='0xFFD08400' /&gt;&lt;slave name='dma.axi_slave0' start='0xFFE01000' end='0xFFE02000' /&gt;&lt;slave name='spim0.axi_slave0' start='0xFFF00000' end='0xFFF00100' /&gt;&lt;slave name='spim1.axi_slave0' start='0xFFF01000' end='0xFFF01100' /&gt;&lt;slave name='scu.axi_slave0' start='0xFFFEC000' end='0xFFFEC100' /&gt;&lt;slave name='arm_gic_0.axi_slave1' start='0xFFFEC100' end='0xFFFEC200' /&gt;&lt;slave name='timer.axi_slave0' start='0xFFFEC600' end='0xFFFEC700' /&gt;&lt;slave name='arm_gic_0.axi_slave0' start='0xFFFED000' end='0xFFFEE000' /&gt;&lt;slave name='L2.axi_slave0' start='0xFFFEF000' end='0xFFFF0000' /&gt;&lt;slave name='axi_ocram.axi_slave0' start='0xFFFF0000' end='0x100000000' /&gt;&lt;/address-map&gt;</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_arm_a9_1"> </a>
  <div>
   <hr/>
   <h2>hps_0_arm_a9_1</h2>arm_a9 v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="131">hps_0_arm_a9_1</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_h2f</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_L2">hps_0_L2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_dma">hps_0_dma</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_sysmgr">hps_0_sysmgr</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_rstmgr">hps_0_rstmgr</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_fpgamgr">hps_0_fpgamgr</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_uart0">hps_0_uart0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_uart1">hps_0_uart1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer0">hps_0_timer0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer1">hps_0_timer1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer2">hps_0_timer2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer3">hps_0_timer3</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_wd_timer0">hps_0_wd_timer0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_wd_timer1">hps_0_wd_timer1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gpio0">hps_0_gpio0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gpio1">hps_0_gpio1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gpio2">hps_0_gpio2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c0">hps_0_i2c0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c1">hps_0_i2c1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c2">hps_0_i2c2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c3">hps_0_i2c3</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_nand0">hps_0_nand0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_spim0">hps_0_spim0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_spim1">hps_0_spim1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_qspi">hps_0_qspi</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_sdmmc">hps_0_sdmmc</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_usb0">hps_0_usb0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_usb1">hps_0_usb1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gmac0">hps_0_gmac0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gmac1">hps_0_gmac1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_dcan0">hps_0_dcan0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_dcan1">hps_0_dcan1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_l3regs">hps_0_l3regs</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_sdrctl">hps_0_sdrctl</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_axi_ocram">hps_0_axi_ocram</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_axi_sdram">hps_0_axi_sdram</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer">hps_0_timer</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">altera_axi_master&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_scu">hps_0_scu</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">address_map</td>
        <td class="parametervalue">&lt;address-map&gt;&lt;slave name='axi_sdram.axi_slave0' start='0x0' end='0x80000000' /&gt;&lt;slave name='bridges.axi_h2f' start='0xC0000000' end='0xE0000000' /&gt;&lt;slave name='gmac0.axi_slave0' start='0xFF700000' end='0xFF702000' /&gt;&lt;slave name='gmac1.axi_slave0' start='0xFF702000' end='0xFF704000' /&gt;&lt;slave name='sdmmc.axi_slave0' start='0xFF704000' end='0xFF705000' /&gt;&lt;slave name='qspi.axi_slave0' start='0xFF705000' end='0xFF705100' /&gt;&lt;slave name='fpgamgr.axi_slave0' start='0xFF706000' end='0xFF707000' /&gt;&lt;slave name='gpio0.axi_slave0' start='0xFF708000' end='0xFF708100' /&gt;&lt;slave name='gpio1.axi_slave0' start='0xFF709000' end='0xFF709100' /&gt;&lt;slave name='gpio2.axi_slave0' start='0xFF70A000' end='0xFF70A100' /&gt;&lt;slave name='l3regs.axi_slave0' start='0xFF800000' end='0xFF801000' /&gt;&lt;slave name='nand0.axi_slave0' start='0xFF900000' end='0xFF910000' /&gt;&lt;slave name='qspi.axi_slave1' start='0xFFA00000' end='0xFFA00100' /&gt;&lt;slave name='usb0.axi_slave0' start='0xFFB00000' end='0xFFB40000' /&gt;&lt;slave name='usb1.axi_slave0' start='0xFFB40000' end='0xFFB80000' /&gt;&lt;slave name='nand0.axi_slave1' start='0xFFB80000' end='0xFFB90000' /&gt;&lt;slave name='fpgamgr.axi_slave1' start='0xFFB90000' end='0xFFB90100' /&gt;&lt;slave name='dcan0.axi_slave0' start='0xFFC00000' end='0xFFC01000' /&gt;&lt;slave name='dcan1.axi_slave0' start='0xFFC01000' end='0xFFC02000' /&gt;&lt;slave name='uart0.axi_slave0' start='0xFFC02000' end='0xFFC02100' /&gt;&lt;slave name='uart1.axi_slave0' start='0xFFC03000' end='0xFFC03100' /&gt;&lt;slave name='i2c0.axi_slave0' start='0xFFC04000' end='0xFFC04100' /&gt;&lt;slave name='i2c1.axi_slave0' start='0xFFC05000' end='0xFFC05100' /&gt;&lt;slave name='i2c2.axi_slave0' start='0xFFC06000' end='0xFFC06100' /&gt;&lt;slave name='i2c3.axi_slave0' start='0xFFC07000' end='0xFFC07100' /&gt;&lt;slave name='timer0.axi_slave0' start='0xFFC08000' end='0xFFC08100' /&gt;&lt;slave name='timer1.axi_slave0' start='0xFFC09000' end='0xFFC09100' /&gt;&lt;slave name='sdrctl.axi_slave0' start='0xFFC25000' end='0xFFC26000' /&gt;&lt;slave name='timer2.axi_slave0' start='0xFFD00000' end='0xFFD00100' /&gt;&lt;slave name='timer3.axi_slave0' start='0xFFD01000' end='0xFFD01100' /&gt;&lt;slave name='wd_timer0.axi_slave0' start='0xFFD02000' end='0xFFD02100' /&gt;&lt;slave name='wd_timer1.axi_slave0' start='0xFFD03000' end='0xFFD03100' /&gt;&lt;slave name='clkmgr.axi_slave0' start='0xFFD04000' end='0xFFD05000' /&gt;&lt;slave name='rstmgr.axi_slave0' start='0xFFD05000' end='0xFFD05100' /&gt;&lt;slave name='sysmgr.axi_slave0' start='0xFFD08000' end='0xFFD08400' /&gt;&lt;slave name='dma.axi_slave0' start='0xFFE01000' end='0xFFE02000' /&gt;&lt;slave name='spim0.axi_slave0' start='0xFFF00000' end='0xFFF00100' /&gt;&lt;slave name='spim1.axi_slave0' start='0xFFF01000' end='0xFFF01100' /&gt;&lt;slave name='scu.axi_slave0' start='0xFFFEC000' end='0xFFFEC100' /&gt;&lt;slave name='arm_gic_0.axi_slave1' start='0xFFFEC100' end='0xFFFEC200' /&gt;&lt;slave name='timer.axi_slave0' start='0xFFFEC600' end='0xFFFEC700' /&gt;&lt;slave name='arm_gic_0.axi_slave0' start='0xFFFED000' end='0xFFFEE000' /&gt;&lt;slave name='L2.axi_slave0' start='0xFFFEF000' end='0xFFFF0000' /&gt;&lt;slave name='axi_ocram.axi_slave0' start='0xFFFF0000' end='0x100000000' /&gt;&lt;/address-map&gt;</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_arm_gic_0"> </a>
  <div>
   <hr/>
   <h2>hps_0_arm_gic_0</h2>arm_gic v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="107">hps_0_arm_gic_0</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_32&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_L2">hps_0_L2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_dma">hps_0_dma</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_fpgamgr">hps_0_fpgamgr</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_uart0">hps_0_uart0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_uart1">hps_0_uart1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer0">hps_0_timer0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer1">hps_0_timer1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer2">hps_0_timer2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer3">hps_0_timer3</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_wd_timer0">hps_0_wd_timer0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_wd_timer1">hps_0_wd_timer1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gpio0">hps_0_gpio0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gpio1">hps_0_gpio1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gpio2">hps_0_gpio2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c0">hps_0_i2c0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c1">hps_0_i2c1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c2">hps_0_i2c2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c3">hps_0_i2c3</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_nand0">hps_0_nand0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_spim0">hps_0_spim0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_spim1">hps_0_spim1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_qspi">hps_0_qspi</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_sdmmc">hps_0_sdmmc</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_usb0">hps_0_usb0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_usb1">hps_0_usb1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gmac0">hps_0_gmac0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gmac1">hps_0_gmac1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_dcan0">hps_0_dcan0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender0</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_dcan1">hps_0_dcan1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender0</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">arm_gic_ppi&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer">hps_0_timer</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">AUTO_ARM_GIC_PPI_INTERRUPTS_USED</td>
        <td class="parametervalue">8192</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_IRQ_RX_OFFSET_0_INTERRUPTS_USED</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_IRQ_RX_OFFSET_32_INTERRUPTS_USED</td>
        <td class="parametervalue">64</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_F2H_IRQ_0_IRQ_RX_OFFSET_40_INTERRUPTS_USED</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_F2H_IRQ_32_IRQ_RX_OFFSET_72_INTERRUPTS_USED</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_IRQ_RX_OFFSET_104_INTERRUPTS_USED</td>
        <td class="parametervalue">2569078785</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_IRQ_RX_OFFSET_136_INTERRUPTS_USED</td>
        <td class="parametervalue">1070366985</td>
       </tr>
       <tr>
        <td class="parametername">AUTO_IRQ_RX_OFFSET_166_INTERRUPTS_USED</td>
        <td class="parametervalue">639</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_L2"> </a>
  <div>
   <hr/>
   <h2>hps_0_L2</h2>arm_pl310_L2 v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="13">hps_0_L2</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_32&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_dma"> </a>
  <div>
   <hr/>
   <h2>hps_0_dma</h2>arm_pl330_dma v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_dma</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">l4_main_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;apb_pclk</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_sysmgr"> </a>
  <div>
   <hr/>
   <h2>hps_0_sysmgr</h2>altera_sysmgr v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="10">hps_0_sysmgr</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">cpu1_start_addr</td>
        <td class="parametervalue">4291854532</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_clkmgr"> </a>
  <div>
   <hr/>
   <h2>hps_0_clkmgr</h2>asimov_clkmgr v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="96">hps_0_clkmgr</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_eosc1">hps_0_eosc1</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;eosc1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_eosc2">hps_0_eosc2</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;eosc2</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_f2s_periph_ref_clk">hps_0_f2s_periph_ref_clk</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;f2s_periph_ref_clk</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_f2s_sdram_ref_clk">hps_0_f2s_sdram_ref_clk</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;f2s_sdram_ref_clk</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">l4_main_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_dma">hps_0_dma</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;apb_pclk</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_uart0">hps_0_uart0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_uart1">hps_0_uart1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer0">hps_0_timer0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer1">hps_0_timer1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">per_base_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_wd_timer1">hps_0_wd_timer1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">l4_mp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gpio0">hps_0_gpio0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">l4_mp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gpio1">hps_0_gpio1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">l4_mp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gpio2">hps_0_gpio2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c0">hps_0_i2c0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c1">hps_0_i2c1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c2">hps_0_i2c2</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_i2c3">hps_0_i2c3</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">nand_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_nand0">hps_0_nand0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">spi_m_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_spim0">hps_0_spim0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">spi_m_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_spim1">hps_0_spim1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">qspi_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_qspi">hps_0_qspi</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">l4_mp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_sdmmc">hps_0_sdmmc</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;biu</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">sdmmc_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;ciu</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">usb_mp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_usb0">hps_0_usb0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">usb_mp_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_usb1">hps_0_usb1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">emac0_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gmac0">hps_0_gmac0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">emac1_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_gmac1">hps_0_gmac1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">can0_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_dcan0">hps_0_dcan0</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">can1_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_dcan1">hps_0_dcan1</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="from">mpu_periph_clk&#160;&#160;</td>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_timer">hps_0_timer</a>
      </td>
     </tr>
     <tr>
      <td></td>
      <td></td>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">show_advanced_parameters</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">configure_advanced_parameters</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">device_pll_info_auto</td>
        <td class="parametervalue"></td>
       </tr>
       <tr>
        <td class="parametername">customize_device_pll_info</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">device_pll_info_manual</td>
        <td class="parametervalue">{320000000 1600000000} {320000000 1000000000} {800000000 400000000 400000000}</td>
       </tr>
       <tr>
        <td class="parametername">show_debug_info_as_warning_msg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">show_warning_as_error_msg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">eosc1_clk_mhz</td>
        <td class="parametervalue">50.0</td>
       </tr>
       <tr>
        <td class="parametername">eosc1_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">eosc2_clk_mhz</td>
        <td class="parametervalue">50.0</td>
       </tr>
       <tr>
        <td class="parametername">eosc2_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_SDRAMCLK_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_PERIPHCLK_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_SDRAMCLK_FREQ</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_SDRAMCLK_FREQ_MHZ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_PERIPHCLK_FREQ</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">F2SCLK_PERIPHCLK_FREQ_MHZ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_source</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">sdmmc_clk_source</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">nand_clk_source</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">qspi_clk_source</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">l4_mp_clk_source</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">l4_sp_clk_source</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">default_mpu_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">default_mpu_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">use_default_mpu_clk</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">desired_mpu_clk_mhz</td>
        <td class="parametervalue">800.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_mpu_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">l3_mp_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">l3_sp_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">dbctrl_stayosc1</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">dbg_at_clk_div</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">dbg_trace_clk_div</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_l4_mp_clk_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_l4_mp_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_l4_sp_clk_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_l4_sp_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_cfg_clk_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_cfg_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_sdmmc_clk_mhz</td>
        <td class="parametervalue">200.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_sdmmc_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_nand_clk_mhz</td>
        <td class="parametervalue">12.5</td>
       </tr>
       <tr>
        <td class="parametername">desired_nand_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_qspi_clk_mhz</td>
        <td class="parametervalue">400.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_qspi_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_emac0_clk_mhz</td>
        <td class="parametervalue">250.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_emac0_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_emac1_clk_mhz</td>
        <td class="parametervalue">250.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_emac1_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_usb_mp_clk_mhz</td>
        <td class="parametervalue">200.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_usb_mp_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_spi_m_clk_mhz</td>
        <td class="parametervalue">200.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_spi_m_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_can0_clk_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_can0_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_can1_clk_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">desired_can1_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">desired_gpio_db_clk_hz</td>
        <td class="parametervalue">32000</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER0CLK_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER1CLK_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER2CLK_Enable</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER0CLK_FREQ</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER0CLK_FREQ_HZ</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER1CLK_FREQ</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER1CLK_FREQ_HZ</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER2CLK_FREQ</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER2CLK_FREQ_HZ</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">S2FCLK_USER2CLK</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_m_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_n_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_vco_auto_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_vco_auto_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c0_internal_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c1_internal_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c2_internal_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c3_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c4_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c5_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_m</td>
        <td class="parametervalue">63</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_n</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_vco_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_vco_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c0_internal</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c1_internal</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c2_internal</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c3</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c4</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">main_pll_c5</td>
        <td class="parametervalue">15</td>
       </tr>
       <tr>
        <td class="parametername">mpu_base_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">mpu_base_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">main_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_base_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_base_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">main_qspi_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_qspi_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">main_nand_sdmmc_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">main_nand_sdmmc_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">cfg_h2f_user0_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">cfg_h2f_user0_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">mpu_periph_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">mpu_periph_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">mpu_l2_ram_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">mpu_l2_ram_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">mpu_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">mpu_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">l3_mp_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">l3_mp_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">l3_sp_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">l3_sp_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_at_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_at_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_trace_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_trace_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_timer_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">dbg_timer_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">cfg_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">cfg_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">h2f_user0_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">h2f_user0_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_m_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_n_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_vco_auto_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_vco_auto_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c0_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c1_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c2_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c3_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c4_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c5_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_m</td>
        <td class="parametervalue">79</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_n</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_vco_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_vco_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c0</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c1</td>
        <td class="parametervalue">3</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c3</td>
        <td class="parametervalue">19</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c4</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">periph_pll_c5</td>
        <td class="parametervalue">9</td>
       </tr>
       <tr>
        <td class="parametername">periph_qspi_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_qspi_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">periph_nand_sdmmc_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_nand_sdmmc_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">periph_base_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">periph_base_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">usb_mp_clk_div_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">spi_m_clk_div_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">can0_clk_div_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">can1_clk_div_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gpio_db_clk_div_auto</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">usb_mp_clk_div</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">spi_m_clk_div</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">can0_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">can1_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gpio_db_clk_div</td>
        <td class="parametervalue">6249</td>
       </tr>
       <tr>
        <td class="parametername">emac0_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">emac0_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">emac1_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">emac1_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">h2f_user1_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">h2f_user1_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">usb_mp_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">usb_mp_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">spi_m_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">spi_m_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">can0_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">can0_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">can1_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">can1_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gpio_db_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">l4_mp_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">l4_sp_clk_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">l4_mp_clk_div_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">l4_sp_clk_div_auto</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">sdmmc_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">sdmmc_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">nand_x_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">nand_x_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">nand_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">nand_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">qspi_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">qspi_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">l4_mp_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">l4_mp_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">l4_sp_clk_hz</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">l4_sp_clk_mhz</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_rstmgr"> </a>
  <div>
   <hr/>
   <h2>hps_0_rstmgr</h2>altera_rstmgr v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="10">hps_0_rstmgr</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">offset</td>
        <td class="parametervalue">16</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_fpgamgr"> </a>
  <div>
   <hr/>
   <h2>hps_0_fpgamgr</h2>altera_fpgamgr v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="17">hps_0_fpgamgr</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">compatible</td>
        <td class="parametervalue">altr,fpga-mgr altr,socfpga-fpga-mgr</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_uart0"> </a>
  <div>
   <hr/>
   <h2>hps_0_uart0</h2>snps_uart v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_uart0</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">clk_freq_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">FIFO_DEPTH</td>
        <td class="parametervalue">128</td>
       </tr>
       <tr>
        <td class="parametername">FIFO_HWFC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">FIFO_MODE</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">FIFO_SWFC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">100000000</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_uart1"> </a>
  <div>
   <hr/>
   <h2>hps_0_uart1</h2>snps_uart v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_uart1</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">clk_freq_mhz</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">FIFO_DEPTH</td>
        <td class="parametervalue">128</td>
       </tr>
       <tr>
        <td class="parametername">FIFO_HWFC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">FIFO_MODE</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">FIFO_SWFC</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">100000000</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_timer0"> </a>
  <div>
   <hr/>
   <h2>hps_0_timer0</h2>dw_apb_timer_sp v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_timer0</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_timer1"> </a>
  <div>
   <hr/>
   <h2>hps_0_timer1</h2>dw_apb_timer_sp v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_timer1</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_timer2"> </a>
  <div>
   <hr/>
   <h2>hps_0_timer2</h2>dw_apb_timer_osc v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_eosc1">hps_0_eosc1</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="13">hps_0_timer2</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_timer3"> </a>
  <div>
   <hr/>
   <h2>hps_0_timer3</h2>dw_apb_timer_osc v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_eosc1">hps_0_eosc1</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="13">hps_0_timer3</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_wd_timer0"> </a>
  <div>
   <hr/>
   <h2>hps_0_wd_timer0</h2>dw_wd_timer v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_eosc1">hps_0_eosc1</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="13">hps_0_wd_timer0</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_wd_timer1"> </a>
  <div>
   <hr/>
   <h2>hps_0_wd_timer1</h2>dw_wd_timer v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_wd_timer1</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">per_base_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_gpio0"> </a>
  <div>
   <hr/>
   <h2>hps_0_gpio0</h2>dw_gpio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_gpio0</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">l4_mp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PORTA_INTR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PWIDTH_A</td>
        <td class="parametervalue">29</td>
       </tr>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PWIDTH_B</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PWIDTH_C</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PWIDTH_D</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_gpio1"> </a>
  <div>
   <hr/>
   <h2>hps_0_gpio1</h2>dw_gpio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_gpio1</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">l4_mp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PORTA_INTR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PWIDTH_A</td>
        <td class="parametervalue">29</td>
       </tr>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PWIDTH_B</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PWIDTH_C</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PWIDTH_D</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_gpio2"> </a>
  <div>
   <hr/>
   <h2>hps_0_gpio2</h2>dw_gpio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_gpio2</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">l4_mp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_166&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PORTA_INTR</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PWIDTH_A</td>
        <td class="parametervalue">27</td>
       </tr>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PWIDTH_B</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PWIDTH_C</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">embeddedsw.dts.instance.GPIO_PWIDTH_D</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_i2c0"> </a>
  <div>
   <hr/>
   <h2>hps_0_i2c0</h2>designware_i2c v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_i2c0</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_i2c1"> </a>
  <div>
   <hr/>
   <h2>hps_0_i2c1</h2>designware_i2c v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_i2c1</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_i2c2"> </a>
  <div>
   <hr/>
   <h2>hps_0_i2c2</h2>designware_i2c v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_i2c2</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_i2c3"> </a>
  <div>
   <hr/>
   <h2>hps_0_i2c3</h2>designware_i2c v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_i2c3</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">l4_sp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_nand0"> </a>
  <div>
   <hr/>
   <h2>hps_0_nand0</h2>denali_nand v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="18">hps_0_nand0</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">nand_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_spim0"> </a>
  <div>
   <hr/>
   <h2>hps_0_spim0</h2>spi v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_spim0</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">spi_m_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_spim1"> </a>
  <div>
   <hr/>
   <h2>hps_0_spim1</h2>spi v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_spim1</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">spi_m_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_qspi"> </a>
  <div>
   <hr/>
   <h2>hps_0_qspi</h2>cadence_qspi v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="18">hps_0_qspi</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">qspi_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_sdmmc"> </a>
  <div>
   <hr/>
   <h2>hps_0_sdmmc</h2>sdmmc v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="16">hps_0_sdmmc</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">l4_mp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;biu</td>
     </tr>
     <tr>
      <td class="from">sdmmc_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;ciu</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_usb0"> </a>
  <div>
   <hr/>
   <h2>hps_0_usb0</h2>usb v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_usb0</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">usb_mp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_usb1"> </a>
  <div>
   <hr/>
   <h2>hps_0_usb1</h2>usb v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_usb1</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">usb_mp_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_gmac0"> </a>
  <div>
   <hr/>
   <h2>hps_0_gmac0</h2>stmmac v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_gmac0</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">emac0_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">compatible</td>
        <td class="parametervalue">altr,socfpga-stmmac snps,dwmac-3.70a snps,dwmac</td>
       </tr>
       <tr>
        <td class="parametername">rx_fifo_depth</td>
        <td class="parametervalue">4096</td>
       </tr>
       <tr>
        <td class="parametername">tx_fifo_depth</td>
        <td class="parametervalue">4096</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_gmac1"> </a>
  <div>
   <hr/>
   <h2>hps_0_gmac1</h2>stmmac v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_gmac1</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">emac1_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">compatible</td>
        <td class="parametervalue">altr,socfpga-stmmac snps,dwmac-3.70a snps,dwmac</td>
       </tr>
       <tr>
        <td class="parametername">rx_fifo_depth</td>
        <td class="parametervalue">4096</td>
       </tr>
       <tr>
        <td class="parametername">tx_fifo_depth</td>
        <td class="parametervalue">4096</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_dcan0"> </a>
  <div>
   <hr/>
   <h2>hps_0_dcan0</h2>bosch_dcan v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="16">hps_0_dcan0</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">can0_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender0</td>
     </tr>
     <tr>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender1</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_dcan1"> </a>
  <div>
   <hr/>
   <h2>hps_0_dcan1</h2>bosch_dcan v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="16">hps_0_dcan1</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">can1_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">irq_rx_offset_104&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender0</td>
     </tr>
     <tr>
      <td class="from">irq_rx_offset_136&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender1</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">swEnabled</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_l3regs"> </a>
  <div>
   <hr/>
   <h2>hps_0_l3regs</h2>altera_l3regs v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="10">hps_0_l3regs</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_sdrctl"> </a>
  <div>
   <hr/>
   <h2>hps_0_sdrctl</h2>altera_sdrctl v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="10">hps_0_sdrctl</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_axi_ocram"> </a>
  <div>
   <hr/>
   <h2>hps_0_axi_ocram</h2>axi_ocram v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="10">hps_0_axi_ocram</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">SIZE_MULTIPLE</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">SIZE_VALUE</td>
        <td class="parametervalue">1&lt;&lt;16</td>
       </tr>
       <tr>
        <td class="parametername">WRITABLE</td>
        <td class="parametervalue">1</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_axi_sdram"> </a>
  <div>
   <hr/>
   <h2>hps_0_axi_sdram</h2>axi_sdram v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="10">hps_0_axi_sdram</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">SIZE_MULTIPLE</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">SIZE_VALUE</td>
        <td class="parametervalue">1&lt;&lt;31</td>
       </tr>
       <tr>
        <td class="parametername">WRITABLE</td>
        <td class="parametervalue">1</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_timer"> </a>
  <div>
   <hr/>
   <h2>hps_0_timer</h2>arm_internal_timer v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="14">hps_0_timer</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_clkmgr">hps_0_clkmgr</a>
      </td>
      <td class="from">mpu_periph_clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_gic_0">hps_0_arm_gic_0</a>
      </td>
      <td class="from">arm_gic_ppi&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;interrupt_sender</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_hps_0_scu"> </a>
  <div>
   <hr/>
   <h2>hps_0_scu</h2>scu v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_hps_0_clk_0">hps_0_clk_0</a>
      </td>
      <td class="from">clk_reset&#160;&#160;</td>
      <td class="main" rowspan="10">hps_0_scu</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset_sink</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clock_sink</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_0">hps_0_arm_a9_0</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_arm_a9_1">hps_0_arm_a9_1</a>
      </td>
      <td class="from">altera_axi_master&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;axi_slave0</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_led_pio_test"> </a>
  <div>
   <hr/>
   <h2>led_pio_test</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">led_pio_test</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Output</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">5</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_link_disable"> </a>
  <div>
   <hr/>
   <h2>link_disable</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">link_disable</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Output</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_link_start"> </a>
  <div>
   <hr/>
   <h2>link_start</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">link_start</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Output</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_pll_0"> </a>
  <div>
   <hr/>
   <h2>pll_0</h2>altera_pll v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="6">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
      <td class="main" rowspan="6">pll_0</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;refclk</td>
     </tr>
     <tr>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;refclk1</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">debug_print_output</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">debug_use_rbc_taf_method</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">device_family</td>
        <td class="parametervalue">CYCLONEV</td>
       </tr>
       <tr>
        <td class="parametername">device</td>
        <td class="parametervalue">5CSEMA4U23C6</td>
       </tr>
       <tr>
        <td class="parametername">gui_device_speed_grade</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">gui_pll_mode</td>
        <td class="parametervalue">Integer-N PLL</td>
       </tr>
       <tr>
        <td class="parametername">fractional_vco_multiplier</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_reference_clock_frequency</td>
        <td class="parametervalue">50.0</td>
       </tr>
       <tr>
        <td class="parametername">reference_clock_frequency</td>
        <td class="parametervalue">50.0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_channel_spacing</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_operation_mode</td>
        <td class="parametervalue">normal</td>
       </tr>
       <tr>
        <td class="parametername">gui_feedback_clock</td>
        <td class="parametervalue">Global Clock</td>
       </tr>
       <tr>
        <td class="parametername">gui_fractional_cout</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">pll_fractional_cout</td>
        <td class="parametervalue">32</td>
       </tr>
       <tr>
        <td class="parametername">gui_dsm_out_sel</td>
        <td class="parametervalue">1st_order</td>
       </tr>
       <tr>
        <td class="parametername">pll_dsm_out_sel</td>
        <td class="parametervalue">1st_order</td>
       </tr>
       <tr>
        <td class="parametername">operation_mode</td>
        <td class="parametervalue">normal</td>
       </tr>
       <tr>
        <td class="parametername">gui_use_locked</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">gui_en_adv_params</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_number_of_clocks</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">number_of_clocks</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">number_of_cascade_counters</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_multiply_factor</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_frac_multiply_factor</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_n</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter0</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency0</td>
        <td class="parametervalue">400.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor0</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency0</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units0</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg0</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle0</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter1</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency1</td>
        <td class="parametervalue">250.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency1</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units1</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg1</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle1</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter2</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency2</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency2</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units2</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg2</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle2</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter3</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency3</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency3</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units3</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg3</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle3</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter4</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency4</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency4</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units4</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg4</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle4</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter5</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency5</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency5</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units5</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg5</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle5</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter6</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency6</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c6</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor6</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor6</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor6</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency6</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units6</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg6</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle6</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter7</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency7</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c7</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor7</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor7</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor7</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency7</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units7</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg7</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle7</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter8</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency8</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c8</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor8</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor8</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor8</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency8</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units8</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift8</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg8</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift8</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle8</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter9</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency9</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c9</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor9</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor9</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor9</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency9</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units9</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift9</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg9</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift9</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle9</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter10</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency10</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c10</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor10</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor10</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor10</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency10</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units10</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift10</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg10</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift10</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle10</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter11</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency11</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c11</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor11</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor11</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor11</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency11</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units11</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift11</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg11</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift11</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle11</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter12</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency12</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c12</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor12</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor12</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor12</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency12</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units12</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift12</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg12</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift12</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle12</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter13</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency13</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c13</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor13</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor13</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor13</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency13</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units13</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift13</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg13</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift13</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle13</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter14</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency14</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c14</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor14</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor14</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor14</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency14</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units14</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift14</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg14</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift14</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle14</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter15</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency15</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c15</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor15</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor15</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor15</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency15</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units15</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift15</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg15</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift15</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle15</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter16</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency16</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c16</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor16</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor16</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor16</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency16</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units16</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift16</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg16</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift16</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle16</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_counter17</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_output_clock_frequency17</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_divide_factor_c17</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_multiply_factor17</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_frac_multiply_factor17</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_divide_factor17</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_output_clock_frequency17</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">gui_ps_units17</td>
        <td class="parametervalue">ps</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift17</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_phase_shift_deg17</td>
        <td class="parametervalue">0.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_actual_phase_shift17</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_duty_cycle17</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency0</td>
        <td class="parametervalue">400.000000 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift0</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle0</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency1</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift1</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle1</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency2</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift2</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle2</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency3</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift3</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle3</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency4</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift4</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle4</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency5</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift5</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle5</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency6</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift6</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle6</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency7</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift7</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle7</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency8</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift8</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle8</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency9</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift9</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle9</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency10</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift10</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle10</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency11</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift11</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle11</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency12</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift12</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle12</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency13</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift13</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle13</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency14</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift14</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle14</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency15</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift15</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle15</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency16</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift16</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle16</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">output_clock_frequency17</td>
        <td class="parametervalue">0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">phase_shift17</td>
        <td class="parametervalue">0 ps</td>
       </tr>
       <tr>
        <td class="parametername">duty_cycle17</td>
        <td class="parametervalue">50</td>
       </tr>
       <tr>
        <td class="parametername">gui_pll_auto_reset</td>
        <td class="parametervalue">Off</td>
       </tr>
       <tr>
        <td class="parametername">gui_pll_bandwidth_preset</td>
        <td class="parametervalue">Auto</td>
       </tr>
       <tr>
        <td class="parametername">gui_en_reconf</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_en_dps_ports</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_en_phout_ports</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_phout_division</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">pll_vcoph_div</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">pll_type</td>
        <td class="parametervalue">Cyclone V</td>
       </tr>
       <tr>
        <td class="parametername">pll_subtype</td>
        <td class="parametervalue">General</td>
       </tr>
       <tr>
        <td class="parametername">m_cnt_hi_div</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">m_cnt_lo_div</td>
        <td class="parametervalue">4</td>
       </tr>
       <tr>
        <td class="parametername">n_cnt_hi_div</td>
        <td class="parametervalue">256</td>
       </tr>
       <tr>
        <td class="parametername">n_cnt_lo_div</td>
        <td class="parametervalue">256</td>
       </tr>
       <tr>
        <td class="parametername">m_cnt_bypass_en</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">n_cnt_bypass_en</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">m_cnt_odd_div_duty_en</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">n_cnt_odd_div_duty_en</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div0</td>
        <td class="parametervalue">256</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div0</td>
        <td class="parametervalue">256</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst0</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst0</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src0</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en0</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en0</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst1</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst1</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src1</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en1</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en1</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst2</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst2</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src2</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en2</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en2</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst3</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst3</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src3</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en3</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en3</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst4</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst4</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src4</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en4</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en4</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst5</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst5</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src5</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en5</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en5</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div6</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div6</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst6</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst6</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src6</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en6</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en6</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div7</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div7</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst7</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst7</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src7</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en7</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en7</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div8</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div8</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst8</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst8</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src8</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en8</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en8</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div9</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div9</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst9</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst9</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src9</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en9</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en9</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div10</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div10</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst10</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst10</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src10</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en10</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en10</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div11</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div11</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst11</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst11</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src11</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en11</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en11</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div12</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div12</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst12</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst12</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src12</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en12</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en12</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div13</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div13</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst13</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst13</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src13</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en13</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en13</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div14</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div14</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst14</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst14</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src14</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en14</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en14</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div15</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div15</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst15</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst15</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src15</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en15</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en15</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div16</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div16</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst16</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst16</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src16</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en16</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en16</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_hi_div17</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_lo_div17</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_prst17</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_ph_mux_prst17</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_in_src17</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_bypass_en17</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">c_cnt_odd_div_duty_en17</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">pll_vco_div</td>
        <td class="parametervalue">2</td>
       </tr>
       <tr>
        <td class="parametername">pll_cp_current</td>
        <td class="parametervalue">20</td>
       </tr>
       <tr>
        <td class="parametername">pll_bwctrl</td>
        <td class="parametervalue">4000</td>
       </tr>
       <tr>
        <td class="parametername">pll_output_clk_frequency</td>
        <td class="parametervalue">400.0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">pll_fractional_division</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">mimic_fbclk_type</td>
        <td class="parametervalue">gclk</td>
       </tr>
       <tr>
        <td class="parametername">pll_fbclk_mux_1</td>
        <td class="parametervalue">glb</td>
       </tr>
       <tr>
        <td class="parametername">pll_fbclk_mux_2</td>
        <td class="parametervalue">fb_1</td>
       </tr>
       <tr>
        <td class="parametername">pll_m_cnt_in_src</td>
        <td class="parametervalue">ph_mux_clk</td>
       </tr>
       <tr>
        <td class="parametername">pll_slf_rst</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_parameter_list</td>
        <td class="parametervalue">M-Counter Hi Divide,M-Counter Low Divide,N-Counter Hi Divide,N-Counter Low Divide,M-Counter Bypass Enable,N-Counter Bypass Enable,M-Counter Odd Divide Enable,N-Counter Odd Divide Enable,C-Counter-0 Hi Divide,C-Counter-0 Low Divide,C-Counter-0 Coarse Phase Shift,C-Counter-0 VCO Phase Tap,C-Counter-0 Input Source,C-Counter-0 Bypass Enable,C-Counter-0 Odd Divide Enable,VCO Post Divide Counter Enable,Charge Pump current (uA),Loop Filter Bandwidth Resistor (Ohms) ,PLL Output VCO Frequency,K-Fractional Division Value (DSM),Feedback Clock Type,Feedback Clock MUX 1,Feedback Clock MUX 2,M Counter Source MUX,PLL Auto Reset</td>
       </tr>
       <tr>
        <td class="parametername">gui_parameter_values</td>
        <td class="parametervalue">4,4,256,256,false,true,false,false,256,256,1,0,ph_mux_clk,true,false,2,20,4000,400.0 MHz,1,gclk,glb,fb_1,ph_mux_clk,false</td>
       </tr>
       <tr>
        <td class="parametername">gui_mif_generate</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_enable_mif_dps</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_dps_cntr</td>
        <td class="parametervalue">C0</td>
       </tr>
       <tr>
        <td class="parametername">gui_dps_num</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">gui_dps_dir</td>
        <td class="parametervalue">Positive</td>
       </tr>
       <tr>
        <td class="parametername">gui_refclk_switch</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">gui_refclk1_frequency</td>
        <td class="parametervalue">100.0</td>
       </tr>
       <tr>
        <td class="parametername">gui_switchover_mode</td>
        <td class="parametervalue">Automatic Switchover</td>
       </tr>
       <tr>
        <td class="parametername">gui_switchover_delay</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_active_clk</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_clk_bad</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">refclk1_frequency</td>
        <td class="parametervalue">100.0 MHz</td>
       </tr>
       <tr>
        <td class="parametername">pll_clk_loss_sw_en</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">pll_manu_clk_sw_en</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">pll_auto_clk_sw_en</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">pll_clkin_1_src</td>
        <td class="parametervalue">clk_1</td>
       </tr>
       <tr>
        <td class="parametername">pll_clk_sw_dly</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_enable_cascade_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">gui_cascade_outclk_index</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">gui_enable_cascade_in</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">pll_clkin_0_src</td>
        <td class="parametervalue">clk_0</td>
       </tr>
       <tr>
        <td class="parametername">gui_pll_cascading_mode</td>
        <td class="parametervalue">Create an adjpllin signal to connect with an upstream PLL</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>(none)</td>
    </tr>
   </table>
  </div>
  <a name="module_timecode_ready_rx"> </a>
  <div>
   <hr/>
   <h2>timecode_ready_rx</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">timecode_ready_rx</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Input</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_timecode_rx"> </a>
  <div>
   <hr/>
   <h2>timecode_rx</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">timecode_rx</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Input</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_timecode_tx_data"> </a>
  <div>
   <hr/>
   <h2>timecode_tx_data</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">timecode_tx_data</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Output</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">8</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_timecode_tx_enable"> </a>
  <div>
   <hr/>
   <h2>timecode_tx_enable</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">timecode_tx_enable</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Output</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_timecode_tx_ready"> </a>
  <div>
   <hr/>
   <h2>timecode_tx_ready</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">timecode_tx_ready</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Input</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_write_data_fifo_tx"> </a>
  <div>
   <hr/>
   <h2>write_data_fifo_tx</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">write_data_fifo_tx</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Output</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">9</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">9</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <a name="module_write_en_tx"> </a>
  <div>
   <hr/>
   <h2>write_en_tx</h2>altera_avalon_pio v17.1
   <br/>
   <div class="greydiv">
    <table class="connectionboxes">
     <tr>
      <td class="neighbor" rowspan="2">
       <a href="#module_hps_0_bridges">hps_0_bridges</a>
      </td>
      <td class="from">h2f&#160;&#160;</td>
      <td class="main" rowspan="7">write_en_tx</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;s1</td>
     </tr>
     <tr style="height:6px">
      <td></td>
     </tr>
     <tr>
      <td class="neighbor" rowspan="4">
       <a href="#module_clk_0">clk_0</a>
      </td>
      <td class="from">clk&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;clk</td>
     </tr>
     <tr>
      <td class="from">clk_reset&#160;&#160;</td>
     </tr>
     <tr>
      <td class="to">&#160;&#160;reset</td>
     </tr>
    </table>
   </div>
   <br/>
   <br/>
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Parameters</h2>
      <table>
       <tr>
        <td class="parametername">bitClearingEdgeCapReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">bitModifyingOutReg</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">captureEdge</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">direction</td>
        <td class="parametervalue">Output</td>
       </tr>
       <tr>
        <td class="parametername">edgeType</td>
        <td class="parametervalue">RISING</td>
       </tr>
       <tr>
        <td class="parametername">generateIRQ</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">irqType</td>
        <td class="parametervalue">LEVEL</td>
       </tr>
       <tr>
        <td class="parametername">resetValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">simDoTestBenchWiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">simDrivenValue</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">width</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">clockRate</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_tri</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_out</td>
        <td class="parametervalue">true</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_in</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_do_test_bench_wiring</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_capture</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">derived_edge_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_irq_type</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">derived_has_irq</td>
        <td class="parametervalue">false</td>
       </tr>
       <tr>
        <td class="parametername">deviceFamily</td>
        <td class="parametervalue">UNKNOWN</td>
       </tr>
       <tr>
        <td class="parametername">generateLegacySim</td>
        <td class="parametervalue">false</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>&#160;&#160;
   <table class="flowbox">
    <tr>
     <td class="parametersbox">
      <h2>Software Assignments</h2>
      <table>
       <tr>
        <td class="parametername">BIT_CLEARING_EDGE_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">BIT_MODIFYING_OUTPUT_REGISTER</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">CAPTURE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DATA_WIDTH</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">DO_TEST_BENCH_WIRING</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">DRIVEN_SIM_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">EDGE_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">FREQ</td>
        <td class="parametervalue">50000000</td>
       </tr>
       <tr>
        <td class="parametername">HAS_IN</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">HAS_OUT</td>
        <td class="parametervalue">1</td>
       </tr>
       <tr>
        <td class="parametername">HAS_TRI</td>
        <td class="parametervalue">0</td>
       </tr>
       <tr>
        <td class="parametername">IRQ_TYPE</td>
        <td class="parametervalue">NONE</td>
       </tr>
       <tr>
        <td class="parametername">RESET_VALUE</td>
        <td class="parametervalue">0</td>
       </tr>
      </table>
     </td>
    </tr>
   </table>
  </div>
  <table class="blueBar">
   <tr>
    <td class="l">generation took 0.02 seconds</td>
    <td class="r">rendering took 0.43 seconds</td>
   </tr>
  </table>
 </body>
</html>
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.