OpenCores
URL https://opencores.org/ocsvn/spdif_transmitter/spdif_transmitter/trunk

Subversion Repositories spdif_transmitter

[/] [spdif_transmitter/] [trunk/] [testbench/] [spdif_driver.cpp] - Rev 3

Compare with Previous | Blame | View Log

#include "spdif_driver.h"
 
//-----------------------------------------------------------------
// output: Drive tx data
//-----------------------------------------------------------------
void spdif_driver::output(void)
{
    wait();
    sc_assert(m_tx_fifo.num_available() > 0);
 
    while (true)
    {
        sample_data_o.write(m_tx_fifo.read());
 
        wait();
 
        while (!sample_req_i.read())
            wait();
    }
}
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.