OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [env/] [verilog/] [bpdrop/] [runsh] - Rev 27

Compare with Previous | Blame | View Log

#!/bin/bash

iverilog -o bpdrop bench_bpdrop.v ../common/sd_seq_check.v ../common/sd_seq_gen.v ../../../rtl/verilog/utility/sd_bpdrop.v


Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.