OpenCores
URL https://opencores.org/ocsvn/steelcore/steelcore/trunk

Subversion Repositories steelcore

[/] [vivado/] [steel-core.cache/] [wt/] [webtalk_pa.xml] - Rev 11

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8" ?>
<document>
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pa" timeStamp="Wed Oct 14 18:57:55 2020">
<section name="Project Information" visible="false">
<property name="ProjectID" value="8f5b7534815a45009e3beb4df0a12a83" type="ProjectID"/>
<property name="ProjectIteration" value="87" type="ProjectIteration"/>
</section>
<section name="PlanAhead Usage" visible="true">
<item name="Project Data">
<property name="SrcSetCount" value="1" type="SrcSetCount"/>
<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
<property name="DesignMode" value="RTL" type="DesignMode"/>
<property name="SynthesisStrategy" value="Vivado Synthesis Defaults" type="SynthesisStrategy"/>
<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
</item>
<item name="Java Command Handlers">
<property name="AddDesignTools" value="1" type="JavaHandler"/>
<property name="AddSources" value="24" type="JavaHandler"/>
<property name="AutoConnectTarget" value="54" type="JavaHandler"/>
<property name="CloseDesign" value="13" type="JavaHandler"/>
<property name="CloseProject" value="11" type="JavaHandler"/>
<property name="ConfigureBitstream" value="3" type="JavaHandler"/>
<property name="CreateBlockDesign" value="1" type="JavaHandler"/>
<property name="EditDelete" value="16" type="JavaHandler"/>
<property name="EditProperties" value="2" type="JavaHandler"/>
<property name="EditUndo" value="1" type="JavaHandler"/>
<property name="ElfFileAssociation" value="1" type="JavaHandler"/>
<property name="FixPorts" value="8" type="JavaHandler"/>
<property name="LaunchProgramFpga" value="55" type="JavaHandler"/>
<property name="MakePartCompatible" value="1" type="JavaHandler"/>
<property name="NewHardwareDashboard" value="2" type="JavaHandler"/>
<property name="NewProject" value="2" type="JavaHandler"/>
<property name="OpenExistingReport" value="7" type="JavaHandler"/>
<property name="OpenHardwareManager" value="56" type="JavaHandler"/>
<property name="OpenRecentTarget" value="10" type="JavaHandler"/>
<property name="PlacePorts" value="5" type="JavaHandler"/>
<property name="ReportClockNetworks" value="1" type="JavaHandler"/>
<property name="ReportDRC" value="1" type="JavaHandler"/>
<property name="ReportMethodology" value="1" type="JavaHandler"/>
<property name="ReportTimingSummary" value="3" type="JavaHandler"/>
<property name="ReportUtilization" value="1" type="JavaHandler"/>
<property name="RunBitgen" value="66" type="JavaHandler"/>
<property name="RunImplementation" value="27" type="JavaHandler"/>
<property name="RunSchematic" value="1" type="JavaHandler"/>
<property name="RunSynthesis" value="22" type="JavaHandler"/>
<property name="SaveDesign" value="2" type="JavaHandler"/>
<property name="SetTopNode" value="45" type="JavaHandler"/>
<property name="ShowPowerEstimation" value="2" type="JavaHandler"/>
<property name="ShowView" value="39" type="JavaHandler"/>
<property name="SimulationClose" value="122" type="JavaHandler"/>
<property name="SimulationRelaunch" value="35" type="JavaHandler"/>
<property name="SimulationRun" value="155" type="JavaHandler"/>
<property name="TimingConstraintsWizard" value="1" type="JavaHandler"/>
<property name="ToolsSettings" value="10" type="JavaHandler"/>
<property name="ToolsTemplates" value="1" type="JavaHandler"/>
<property name="ViewLayoutCmd" value="10" type="JavaHandler"/>
<property name="ViewTaskImplementation" value="12" type="JavaHandler"/>
<property name="ViewTaskProjectManager" value="1" type="JavaHandler"/>
<property name="ViewTaskRTLAnalysis" value="4" type="JavaHandler"/>
<property name="ViewTaskSynthesis" value="3" type="JavaHandler"/>
<property name="WriteCfgMemFile" value="1" type="JavaHandler"/>
<property name="ZoomFit" value="1" type="JavaHandler"/>
<property name="ZoomOut" value="2" type="JavaHandler"/>
</item>
<item name="Gui Handlers">
<property name="AbstractFileView_RELOAD" value="2" type="GuiHandlerData"/>
<property name="AddSrcWizard_SPECIFY_HDL_NETLIST_BLOCK_DESIGN" value="4" type="GuiHandlerData"/>
<property name="AddSrcWizard_SPECIFY_SIMULATION_SPECIFIC_HDL_FILES" value="2" type="GuiHandlerData"/>
<property name="AutoplacePortsWizard_FIND_NEW_LOCATIONS_FOR_THESE_PORTS" value="4" type="GuiHandlerData"/>
<property name="BaseDialog_APPLY" value="4" type="GuiHandlerData"/>
<property name="BaseDialog_CANCEL" value="26" type="GuiHandlerData"/>
<property name="BaseDialog_CLOSE" value="1" type="GuiHandlerData"/>
<property name="BaseDialog_NO" value="1" type="GuiHandlerData"/>
<property name="BaseDialog_OK" value="291" type="GuiHandlerData"/>
<property name="BaseDialog_YES" value="30" type="GuiHandlerData"/>
<property name="BoardChooser_BOARD_TABLE" value="4" type="GuiHandlerData"/>
<property name="BoardGadget_URL" value="1" type="GuiHandlerData"/>
<property name="ClosePlanner_YES" value="3" type="GuiHandlerData"/>
<property name="CmdMsgDialog_OK" value="14" type="GuiHandlerData"/>
<property name="CmdMsgDialog_OPEN_MESSAGES_VIEW" value="1" type="GuiHandlerData"/>
<property name="CombinationalConstraintsTablePanel_TABLE" value="1" type="GuiHandlerData"/>
<property name="CommandsInput_TYPE_TCL_COMMAND_HERE" value="1355" type="GuiHandlerData"/>
<property name="ConfigureBitstreamDialog_TOC_LIST" value="3" type="GuiHandlerData"/>
<property name="CreateConstraintsFilePanel_FILE_NAME" value="1" type="GuiHandlerData"/>
<property name="CreateSrcFileDialog_FILE_TYPE" value="1" type="GuiHandlerData"/>
<property name="DelayValuesChooser_APPLY" value="1" type="GuiHandlerData"/>
<property name="DesignTimingSumSectionPanel_WORST_NEGATIVE_SLACK" value="1" type="GuiHandlerData"/>
<property name="ExpReportTreePanel_EXP_REPORT_TREE_TABLE" value="6" type="GuiHandlerData"/>
<property name="ExpRunMenu_LAUNCH_RUNS" value="1" type="GuiHandlerData"/>
<property name="ExpRunMenu_LAUNCH_STEP" value="12" type="GuiHandlerData"/>
<property name="ExpRunTreePanel_EXP_RUN_TREE_TABLE" value="3" type="GuiHandlerData"/>
<property name="ExternalFeedbackDelayTablePanel_TABLE" value="5" type="GuiHandlerData"/>
<property name="FPGAChooser_FPGA_TABLE" value="9" type="GuiHandlerData"/>
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="1475" type="GuiHandlerData"/>
<property name="FileSetPanel_MESSAGES" value="1" type="GuiHandlerData"/>
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="757" type="GuiHandlerData"/>
<property name="FlowNavigatorTreePanel_LAUNCH_SYNTHESIS_RUN" value="2" type="GuiHandlerData"/>
<property name="FlowNavigatorTreePanel_OPEN" value="1" type="GuiHandlerData"/>
<property name="FlowNavigatorTreePanel_RESET_IMPLEMENTATION_RUN" value="9" type="GuiHandlerData"/>
<property name="FlowNavigatorTreePanel_RESET_SYNTHESIS_RUN" value="81" type="GuiHandlerData"/>
<property name="ForwardedClockTablePanel_TABLE" value="1" type="GuiHandlerData"/>
<property name="GeneratedClockTablePanel_TABLE" value="5" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_IN" value="7" type="GuiHandlerData"/>
<property name="HCodeEditor_SEARCH_TEXT_COMBO_BOX" value="16" type="GuiHandlerData"/>
<property name="HJFileChooserRecentListPreview_RECENT_DIRECTORIES" value="7" type="GuiHandlerData"/>
<property name="HPopupTitle_CLOSE" value="4" type="GuiHandlerData"/>
<property name="HardwareTreePanel_HARDWARE_TREE_TABLE" value="59" type="GuiHandlerData"/>
<property name="InputOutputTablePanel_TABLE" value="8" type="GuiHandlerData"/>
<property name="LanguageTemplatesDialog_TEMPLATES_TREE" value="29" type="GuiHandlerData"/>
<property name="LaunchPanel_DONT_SHOW_THIS_DIALOG_AGAIN" value="1" type="GuiHandlerData"/>
<property name="LogMonitor_MONITOR" value="1" type="GuiHandlerData"/>
<property name="MainMenuMgr_DESIGN_HUBS" value="1" type="GuiHandlerData"/>
<property name="MainMenuMgr_EDIT" value="22" type="GuiHandlerData"/>
<property name="MainMenuMgr_FILE" value="52" type="GuiHandlerData"/>
<property name="MainMenuMgr_FLOORPLANNING" value="23" type="GuiHandlerData"/>
<property name="MainMenuMgr_FLOW" value="28" type="GuiHandlerData"/>
<property name="MainMenuMgr_HELP" value="4" type="GuiHandlerData"/>
<property name="MainMenuMgr_IO" value="1" type="GuiHandlerData"/>
<property name="MainMenuMgr_IO_PLANNING" value="34" type="GuiHandlerData"/>
<property name="MainMenuMgr_OPEN_RECENT_PROJECT" value="9" type="GuiHandlerData"/>
<property name="MainMenuMgr_PROJECT" value="23" type="GuiHandlerData"/>
<property name="MainMenuMgr_REPORTS" value="40" type="GuiHandlerData"/>
<property name="MainMenuMgr_RUN" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_SETTINGS" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_TIMING" value="18" type="GuiHandlerData"/>
<property name="MainMenuMgr_TOOLS" value="96" type="GuiHandlerData"/>
<property name="MainMenuMgr_VIEW" value="20" type="GuiHandlerData"/>
<property name="MainMenuMgr_WINDOW" value="20" type="GuiHandlerData"/>
<property name="MainToolbarMgr_OPEN" value="2" type="GuiHandlerData"/>
<property name="MainWinMenuMgr_LAYOUT" value="38" type="GuiHandlerData"/>
<property name="MainWinMenuMgr_LOAD" value="8" type="GuiHandlerData"/>
<property name="MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN" value="4" type="GuiHandlerData"/>
<property name="MsgTreePanel_MESSAGE_SEVERITY" value="2" type="GuiHandlerData"/>
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="22" type="GuiHandlerData"/>
<property name="MsgTreePanel_SUPPRESS_THIS_MESSAGE" value="2" type="GuiHandlerData"/>
<property name="MsgView_CRITICAL_WARNINGS" value="1" type="GuiHandlerData"/>
<property name="MsgView_WARNING_MESSAGES" value="3" type="GuiHandlerData"/>
<property name="NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE" value="39" type="GuiHandlerData"/>
<property name="PACommandNames_ADD_DESIGN_TOOLS" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_ADD_SOURCES" value="25" type="GuiHandlerData"/>
<property name="PACommandNames_AUTOPLACE_PORTS" value="5" type="GuiHandlerData"/>
<property name="PACommandNames_AUTO_CONNECT_TARGET" value="53" type="GuiHandlerData"/>
<property name="PACommandNames_AUTO_UPDATE_HIER" value="31" type="GuiHandlerData"/>
<property name="PACommandNames_CLOSE_HARDWARE_DESIGN" value="8" type="GuiHandlerData"/>
<property name="PACommandNames_CLOSE_IMPL_DESIGN" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_CLOSE_PROJECT" value="10" type="GuiHandlerData"/>
<property name="PACommandNames_CLOSE_RTL_DESIGN" value="3" type="GuiHandlerData"/>
<property name="PACommandNames_CONFIG_BITSTREAM" value="3" type="GuiHandlerData"/>
<property name="PACommandNames_ELF_FILE_ASSOCIATION" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_FILESET_WINDOW" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_FIX_PORTS" value="7" type="GuiHandlerData"/>
<property name="PACommandNames_IMPL_SETTINGS" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_IMPORT_ALL_SRCS" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_NEW_PROJECT" value="2" type="GuiHandlerData"/>
<property name="PACommandNames_OPEN_HARDWARE_MANAGER" value="12" type="GuiHandlerData"/>
<property name="PACommandNames_PROGRAM_FPGA" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_REPORTS_WINDOW" value="6" type="GuiHandlerData"/>
<property name="PACommandNames_REPORT_CLOCK_NETWORKS" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_SAVE_DESIGN" value="2" type="GuiHandlerData"/>
<property name="PACommandNames_SCHEMATIC" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_SET_AS_TOP" value="44" type="GuiHandlerData"/>
<property name="PACommandNames_SET_PART_COMPATIBILITY" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_CLOSE" value="117" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_RELAUNCH" value="34" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_RESET_BEHAVIORAL" value="18" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_RUN_BEHAVIORAL" value="140" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_SETTINGS" value="2" type="GuiHandlerData"/>
<property name="PACommandNames_UNFIX_PORTS" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_WRITE_CONFIG_MEMORY_FILE" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_ZOOM_FIT" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_ZOOM_OUT" value="2" type="GuiHandlerData"/>
<property name="PAViews_CODE" value="331" type="GuiHandlerData"/>
<property name="PAViews_DEVICE" value="5" type="GuiHandlerData"/>
<property name="PAViews_PACKAGE" value="3" type="GuiHandlerData"/>
<property name="PAViews_PAR_REPORT" value="2" type="GuiHandlerData"/>
<property name="PAViews_PROJECT_SUMMARY" value="199" type="GuiHandlerData"/>
<property name="PAViews_SCHEMATIC" value="1" type="GuiHandlerData"/>
<property name="PackageTreePanel_PACKAGE_TREE_PANEL" value="11" type="GuiHandlerData"/>
<property name="PrimaryClocksPanel_RECOMMENDED_CONSTRAINTS_TABLE" value="6" type="GuiHandlerData"/>
<property name="ProgramDebugTab_OPEN_TARGET" value="51" type="GuiHandlerData"/>
<property name="ProgramDebugTab_PROGRAM_DEVICE" value="54" type="GuiHandlerData"/>
<property name="ProgramFpgaDialog_PROGRAM" value="53" type="GuiHandlerData"/>
<property name="ProgramFpgaDialog_SPECIFY_BITSTREAM_FILE" value="2" type="GuiHandlerData"/>
<property name="ProgressDialog_BACKGROUND" value="1" type="GuiHandlerData"/>
<property name="ProgressDialog_CANCEL" value="1" type="GuiHandlerData"/>
<property name="ProjectDashboardView_DASHBOARD" value="8" type="GuiHandlerData"/>
<property name="ProjectDashboardView_TABBED_PANE" value="9" type="GuiHandlerData"/>
<property name="ProjectNameChooser_CHOOSE_PROJECT_LOCATION" value="1" type="GuiHandlerData"/>
<property name="ProjectSettingsGadget_EDIT_PROJECT_SETTINGS" value="1" type="GuiHandlerData"/>
<property name="ProjectSettingsSimulationPanel_TABBED_PANE" value="3" type="GuiHandlerData"/>
<property name="ProjectSummaryDRCPanel_OPEN_DRC_REPORT" value="6" type="GuiHandlerData"/>
<property name="ProjectSummaryPowerPanel_CLICK_FOR_MORE_DETAILS" value="1" type="GuiHandlerData"/>
<property name="ProjectSummaryPowerPanel_TABBED_PANE" value="5" type="GuiHandlerData"/>
<property name="ProjectSummaryTimingPanel_OPEN_TIMING_SUMMARY_REPORT" value="4" type="GuiHandlerData"/>
<property name="ProjectSummaryTimingPanel_PROJECT_SUMMARY_TIMING_PANEL_TABBED" value="22" type="GuiHandlerData"/>
<property name="ProjectSummaryUtilizationGadget_PROJECT_SUMMARY_UTILIZATION_GADGET_TABBED" value="26" type="GuiHandlerData"/>
<property name="ProjectSummaryUtilizationPanel_PROJECT_SUMMARY_UTILIZATION_PANEL_TABBED" value="72" type="GuiHandlerData"/>
<property name="ProjectSummaryUtilizationPanel_PROJECT_SUMMARY_UTILIZATION_TABLE" value="7" type="GuiHandlerData"/>
<property name="ProjectTab_CLOSE_DESIGN" value="8" type="GuiHandlerData"/>
<property name="QuickHelp_HELP" value="1" type="GuiHandlerData"/>
<property name="RDICommands_CUSTOM_COMMANDS" value="3" type="GuiHandlerData"/>
<property name="RDICommands_DELETE" value="15" type="GuiHandlerData"/>
<property name="RDICommands_PROPERTIES" value="2" type="GuiHandlerData"/>
<property name="RDICommands_SAVE_FILE" value="371" type="GuiHandlerData"/>
<property name="RDICommands_SETTINGS" value="6" type="GuiHandlerData"/>
<property name="RDIViews_WAVEFORM_VIEWER" value="325" type="GuiHandlerData"/>
<property name="RemoveSourcesDialog_ALSO_DELETE" value="3" type="GuiHandlerData"/>
<property name="RunGadget_RUN_GADGET_TABBED_PANE" value="4" type="GuiHandlerData"/>
<property name="RunGadget_SHOW_ERROR_AND_CRITICAL_WARNING_MESSAGES" value="10" type="GuiHandlerData"/>
<property name="RunGadget_SHOW_WARNING_AND_ERROR_MESSAGES_IN_MESSAGES" value="11" type="GuiHandlerData"/>
<property name="SaveProjectUtils_CANCEL" value="1" type="GuiHandlerData"/>
<property name="SaveProjectUtils_SAVE" value="4" type="GuiHandlerData"/>
<property name="SearchCommandComponent_QUICK_ACCESS" value="1" type="GuiHandlerData"/>
<property name="SettingsDialog_OPTIONS_TREE" value="9" type="GuiHandlerData"/>
<property name="SettingsDialog_PROJECT_TREE" value="3" type="GuiHandlerData"/>
<property name="SettingsDialog_RESTORE" value="2" type="GuiHandlerData"/>
<property name="SettingsProjectGeneralPage_CHOOSE_DEVICE_FOR_YOUR_PROJECT" value="8" type="GuiHandlerData"/>
<property name="SettingsRestoreDialog_UNCHECK_ALL" value="1" type="GuiHandlerData"/>
<property name="SignalTreePanel_SIGNAL_TREE_TABLE" value="74" type="GuiHandlerData"/>
<property name="SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE" value="79" type="GuiHandlerData"/>
<property name="SimulationScopesPanel_SIMULATE_SCOPE_TABLE" value="333" type="GuiHandlerData"/>
<property name="SrcChooserPanel_ADD_DIRECTORIES" value="1" type="GuiHandlerData"/>
<property name="SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT" value="26" type="GuiHandlerData"/>
<property name="SrcChooserPanel_CREATE_FILE" value="1" type="GuiHandlerData"/>
<property name="SrcChooserPanel_MAKE_LOCAL_COPY_OF_THESE_FILES_INTO" value="1" type="GuiHandlerData"/>
<property name="SrcFilePropPanels_TYPE" value="6" type="GuiHandlerData"/>
<property name="SrcFileTypeComboBox_SOURCE_FILE_TYPE" value="2" type="GuiHandlerData"/>
<property name="SrcMenu_IP_HIERARCHY" value="39" type="GuiHandlerData"/>
<property name="SrcMenu_SET_FILE_TYPE" value="2" type="GuiHandlerData"/>
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="56" type="GuiHandlerData"/>
<property name="TaskBanner_CLOSE" value="50" type="GuiHandlerData"/>
<property name="TclConsoleView_TCL_CONSOLE_CODE_EDITOR" value="10" type="GuiHandlerData"/>
<property name="TimingConstraintsWizard_GOTO_CONSTRAINTS_SUMMARY_PAGE" value="1" type="GuiHandlerData"/>
<property name="TimingConstraintsWizard_VIEW_TIMING_CONSTRAINTS" value="2" type="GuiHandlerData"/>
<property name="TouchpointSurveyDialog_NO" value="1" type="GuiHandlerData"/>
<property name="VioTreeTablePanel_VIO_TREE_TABLE" value="21" type="GuiHandlerData"/>
<property name="WaveformNameTree_WAVEFORM_NAME_TREE" value="9" type="GuiHandlerData"/>
<property name="XPowerSettingsDialog_TABBED_PANE" value="3" type="GuiHandlerData"/>
</item>
<item name="Other">
<property name="GuiMode" value="97" type="GuiMode"/>
<property name="BatchMode" value="0" type="BatchMode"/>
<property name="TclMode" value="91" type="TclMode"/>
</item>
</section>
</application>
</document>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.