OpenCores
URL https://opencores.org/ocsvn/tcp_socket/tcp_socket/trunk

Subversion Repositories tcp_socket

[/] [tcp_socket/] [trunk/] [source/] [server_test.c] - Rev 2

Compare with Previous | Blame | View Log

void put_eth(unsigned int i){
	file_write(i, "ethernet.resp");
}
void put_socket(unsigned int i){
	file_write(i, "socket.resp");
}
unsigned get_eth(){
	return file_read("ethernet.stim");
}
unsigned rdy_eth(){
	return 1;
}
unsigned get_socket(){
	return file_read("socket.stim");
}
 
#include "server.h"
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.