OpenCores
URL https://opencores.org/ocsvn/tdm_switch/tdm_switch/trunk

Subversion Repositories tdm_switch

[/] [tdm_switch/] [web_uploads/] [tdm_switch_top_timesim.sdf] - Rev 6

Compare with Previous | Blame | View Log

(DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "tdm_switch_top")
  (DATE "[Sun Aug 17 20:58:19 2003] ")
  (VENDOR "Xilinx")
  (PROGRAM "Xilinx SDF Writer")
  (VERSION "F.28")
  (DIVIDER /)
  (VOLTAGE 2.375:2.375:2.375)
  (PROCESS "best=1.0:nom=1.0:worst=1.0")
  (TEMPERATURE 85:85:85)
  (TIMESCALE 1 ps)
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_addr_1_IBUF_1)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_addr_0_IBUF_2)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in_8_IBUF_3)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in_7_IBUF_4)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in_6_IBUF_5)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in_5_IBUF_6)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in_4_IBUF_7)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in_3_IBUF_8)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in_2_IBUF_9)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in\<1\>\/DELAY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (688:688:688)(688:688:688))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in_1_IBUF_10)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in\<1\>\/IMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (127:127:127)(127:127:127))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in\<0\>\/DELAY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (688:688:688)(688:688:688))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in_0_IBUF_11)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in\<0\>\/IMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (127:127:127)(127:127:127))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_sync\/OUTMUX_12)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3576:3576:3576)(3576:3576:3576))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_sync\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4161:4161:4161)(4161:4161:4161))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_sync\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE frame_sync_OBUF_13)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in\<1\>\/IFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_7_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1944:1944:1944)(1944:1944:1944))
          (PORT CE (2247:2247:2247)(2247:2247:2247))
          (PORT CLK (386:386:386)(386:386:386))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_in\<0\>\/IFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_7_0)
      (DELAY
        (ABSOLUTE
          (PORT I (1944:1944:1944)(1944:1944:1944))
          (PORT CE (1823:1823:1823)(1823:1823:1823))
          (PORT CLK (386:386:386)(386:386:386))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_addr_2_IBUF_14)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_addr_3_IBUF_15)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_addr_4_IBUF_16)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_addr_5_IBUF_17)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_addr_6_IBUF_18)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_addr_7_IBUF_19)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_addr_8_IBUF_20)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE reset_IBUF_21)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<0\>\/TRIMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (1151:1151:1151)(1151:1151:1151))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_out\<0\>\/OUTMUX_22)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2647:2647:2647)(2647:2647:2647))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE mpi_data_out\<0\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (4161:4161:4161)(4161:4161:4161))
          (PORT I1 (1182:1182:1182)(1182:1182:1182))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<0\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE mpi_data_out_0_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<1\>\/TRIMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (1151:1151:1151)(1151:1151:1151))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_out\<1\>\/OUTMUX_23)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2996:2996:2996)(2996:2996:2996))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE mpi_data_out\<1\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (4161:4161:4161)(4161:4161:4161))
          (PORT I1 (1182:1182:1182)(1182:1182:1182))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<1\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE mpi_data_out_1_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<2\>\/TRIMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (937:937:937)(937:937:937))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_out\<2\>\/OUTMUX_24)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2634:2634:2634)(2634:2634:2634))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE mpi_data_out\<2\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (4161:4161:4161)(4161:4161:4161))
          (PORT I1 (1182:1182:1182)(1182:1182:1182))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<2\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE mpi_data_out_2_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<3\>\/TRIMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (844:844:844)(844:844:844))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_out\<3\>\/OUTMUX_25)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2918:2918:2918)(2918:2918:2918))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE mpi_data_out\<3\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (4161:4161:4161)(4161:4161:4161))
          (PORT I1 (1182:1182:1182)(1182:1182:1182))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<3\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE mpi_data_out_3_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<4\>\/TRIMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (937:937:937)(937:937:937))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_out\<4\>\/OUTMUX_26)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2673:2673:2673)(2673:2673:2673))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE mpi_data_out\<4\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (4161:4161:4161)(4161:4161:4161))
          (PORT I1 (1182:1182:1182)(1182:1182:1182))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<4\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE mpi_data_out_4_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<5\>\/TRIMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (844:844:844)(844:844:844))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_out\<5\>\/OUTMUX_27)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2724:2724:2724)(2724:2724:2724))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE mpi_data_out\<5\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (4161:4161:4161)(4161:4161:4161))
          (PORT I1 (1182:1182:1182)(1182:1182:1182))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<5\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE mpi_data_out_5_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<6\>\/TRIMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (666:666:666)(666:666:666))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_out\<6\>\/OUTMUX_28)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3125:3125:3125)(3125:3125:3125))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE mpi_data_out\<6\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (4161:4161:4161)(4161:4161:4161))
          (PORT I1 (1182:1182:1182)(1182:1182:1182))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<6\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE mpi_data_out_6_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<7\>\/TRIMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (1217:1217:1217)(1217:1217:1217))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_out\<7\>\/OUTMUX_29)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2764:2764:2764)(2764:2764:2764))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE mpi_data_out\<7\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (4161:4161:4161)(4161:4161:4161))
          (PORT I1 (1182:1182:1182)(1182:1182:1182))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<7\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE mpi_data_out_7_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<8\>\/TRIMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (844:844:844)(844:844:844))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_data_out\<8\>\/OUTMUX_30)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3112:3112:3112)(3112:3112:3112))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE mpi_data_out\<8\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (4161:4161:4161)(4161:4161:4161))
          (PORT I1 (1182:1182:1182)(1182:1182:1182))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mpi_data_out\<8\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE mpi_data_out_8_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<0\>\/DELAY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (688:688:688)(688:688:688))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream_0_IBUF_31)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_stream\<0\>\/ICKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (3274:3274:3274)(3274:3274:3274))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<0\>\/IFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_0_7)
      (DELAY
        (ABSOLUTE
          (PORT I (1944:1944:1944)(1944:1944:1944))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<1\>\/DELAY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (688:688:688)(688:688:688))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream_1_IBUF_32)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_stream\<1\>\/ICKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (3274:3274:3274)(3274:3274:3274))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<1\>\/IFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_1_7)
      (DELAY
        (ABSOLUTE
          (PORT I (1944:1944:1944)(1944:1944:1944))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<2\>\/DELAY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (688:688:688)(688:688:688))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream_2_IBUF_33)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_stream\<2\>\/ICKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (3274:3274:3274)(3274:3274:3274))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<2\>\/IFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_2_7)
      (DELAY
        (ABSOLUTE
          (PORT I (1944:1944:1944)(1944:1944:1944))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<3\>\/DELAY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (688:688:688)(688:688:688))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream_3_IBUF_34)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_stream\<3\>\/ICKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (3274:3274:3274)(3274:3274:3274))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<3\>\/IFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_3_7)
      (DELAY
        (ABSOLUTE
          (PORT I (1944:1944:1944)(1944:1944:1944))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<4\>\/DELAY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (688:688:688)(688:688:688))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream_4_IBUF_35)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_stream\<4\>\/ICKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (3274:3274:3274)(3274:3274:3274))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<4\>\/IFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_4_7)
      (DELAY
        (ABSOLUTE
          (PORT I (1944:1944:1944)(1944:1944:1944))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<5\>\/DELAY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (688:688:688)(688:688:688))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream_5_IBUF_36)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_stream\<5\>\/ICKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (3227:3227:3227)(3227:3227:3227))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<5\>\/IFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_5_7)
      (DELAY
        (ABSOLUTE
          (PORT I (1944:1944:1944)(1944:1944:1944))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<6\>\/DELAY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (688:688:688)(688:688:688))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream_6_IBUF_37)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_stream\<6\>\/ICKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (3274:3274:3274)(3274:3274:3274))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<6\>\/IFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_6_7)
      (DELAY
        (ABSOLUTE
          (PORT I (1944:1944:1944)(1944:1944:1944))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<7\>\/DELAY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (688:688:688)(688:688:688))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream_7_IBUF_38)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_stream\<7\>\/ICKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (3298:3298:3298)(3298:3298:3298))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_stream\<7\>\/IFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_7_7)
      (DELAY
        (ABSOLUTE
          (PORT I (1944:1944:1944)(1944:1944:1944))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<0\>\/OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1770:1770:1770)(1770:1770:1770))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<0\>\/OUTMUX_39)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (300:300:300)(300:300:300))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<0\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4161:4161:4161)(4161:4161:4161))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE tx_stream\<0\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE tx_stream_0_OBUF)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<0\>\/OFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_0_0)
      (DELAY
        (ABSOLUTE
          (PORT CLK (3339:3339:3339)(3339:3339:3339))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<1\>\/OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1798:1798:1798)(1798:1798:1798))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<1\>\/OUTMUX_40)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (300:300:300)(300:300:300))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<1\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4161:4161:4161)(4161:4161:4161))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE tx_stream\<1\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE tx_stream_1_OBUF)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<1\>\/OFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_1_0)
      (DELAY
        (ABSOLUTE
          (PORT CLK (3339:3339:3339)(3339:3339:3339))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<2\>\/OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1729:1729:1729)(1729:1729:1729))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<2\>\/OUTMUX_41)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (300:300:300)(300:300:300))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<2\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4161:4161:4161)(4161:4161:4161))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE tx_stream\<2\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE tx_stream_2_OBUF)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<2\>\/OFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_2_0)
      (DELAY
        (ABSOLUTE
          (PORT CLK (3339:3339:3339)(3339:3339:3339))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<3\>\/OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2333:2333:2333)(2333:2333:2333))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<3\>\/OUTMUX_42)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (300:300:300)(300:300:300))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<3\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4161:4161:4161)(4161:4161:4161))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE tx_stream\<3\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE tx_stream_3_OBUF)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<3\>\/OFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_3_0)
      (DELAY
        (ABSOLUTE
          (PORT CLK (3245:3245:3245)(3245:3245:3245))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<4\>\/OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2093:2093:2093)(2093:2093:2093))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<4\>\/OUTMUX_43)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (300:300:300)(300:300:300))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<4\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4161:4161:4161)(4161:4161:4161))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE tx_stream\<4\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE tx_stream_4_OBUF)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<4\>\/OFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_4_0)
      (DELAY
        (ABSOLUTE
          (PORT CLK (4350:4350:4350)(4350:4350:4350))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<5\>\/OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2801:2801:2801)(2801:2801:2801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<5\>\/OUTMUX_44)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (300:300:300)(300:300:300))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<5\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4161:4161:4161)(4161:4161:4161))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE tx_stream\<5\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE tx_stream_5_OBUF)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<5\>\/OFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_5_0)
      (DELAY
        (ABSOLUTE
          (PORT CLK (3245:3245:3245)(3245:3245:3245))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<6\>\/OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2902:2902:2902)(2902:2902:2902))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<6\>\/OUTMUX_45)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (300:300:300)(300:300:300))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<6\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4161:4161:4161)(4161:4161:4161))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE tx_stream\<6\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE tx_stream_6_OBUF)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<6\>\/OFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_6_0)
      (DELAY
        (ABSOLUTE
          (PORT CLK (3245:3245:3245)(3245:3245:3245))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<7\>\/OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1804:1804:1804)(1804:1804:1804))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<7\>\/OUTMUX_46)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (300:300:300)(300:300:300))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<7\>\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4161:4161:4161)(4161:4161:4161))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE tx_stream\<7\>\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE tx_stream_7_OBUF)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_stream\<7\>\/OFF\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_7_0)
      (DELAY
        (ABSOLUTE
          (PORT CLK (4660:4660:4660)(4660:4660:4660))
          (IOPATH CLK O (611:611:611)(611:611:611))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_cs_IBUF_47)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mpi_rw_IBUF_48)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (776:776:776)(776:776:776))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE clk_out\/OUTMUX_49)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3612:3612:3612)(3612:3612:3612))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE clk_out\/GTS_OR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4161:4161:4161)(4161:4161:4161))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE clk_out\/ENABLEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_TRI")
    (INSTANCE clk_out_OBUF)
      (DELAY
        (ABSOLUTE
          (PORT I (875:875:875)(875:875:875))
          (PORT CTL (745:745:745)(745:745:745))
          (IOPATH I O (2880:2880:2880)(2880:2880:2880))
          (IOPATH CTL O (2880:2880:2880)(2880:2880:2880))
        )
      )
  )
  (CELL (CELLTYPE "X_RAMB4_S16_S16")
    (INSTANCE c_mem)
      (DELAY
        (ABSOLUTE
          (PORT CLKA (155:155:155)(155:155:155))
          (PORT CLKB (155:155:155)(155:155:155))
          (PORT ENA (1491:1491:1491)(1491:1491:1491))
          (PORT ENB (3513:3513:3513)(3513:3513:3513))
          (PORT RSTA (1305:1305:1305)(1305:1305:1305))
          (PORT RSTB (1305:1305:1305)(1305:1305:1305))
          (PORT WEB (1177:1177:1177)(1177:1177:1177))
          (PORT ADDRA[0] (3961:3961:3961)(3961:3961:3961))
          (PORT ADDRA[1] (3468:3468:3468)(3468:3468:3468))
          (PORT ADDRA[2] (4114:4114:4114)(4114:4114:4114))
          (PORT ADDRA[3] (3137:3137:3137)(3137:3137:3137))
          (PORT ADDRA[4] (3064:3064:3064)(3064:3064:3064))
          (PORT ADDRA[5] (3085:3085:3085)(3085:3085:3085))
          (PORT ADDRA[6] (2646:2646:2646)(2646:2646:2646))
          (PORT ADDRA[7] (2736:2736:2736)(2736:2736:2736))
          (PORT ADDRB[0] (4425:4425:4425)(4425:4425:4425))
          (PORT ADDRB[1] (2002:2002:2002)(2002:2002:2002))
          (PORT ADDRB[2] (2000:2000:2000)(2000:2000:2000))
          (PORT ADDRB[3] (1700:1700:1700)(1700:1700:1700))
          (PORT ADDRB[4] (5471:5471:5471)(5471:5471:5471))
          (PORT ADDRB[5] (1680:1680:1680)(1680:1680:1680))
          (PORT ADDRB[6] (3359:3359:3359)(3359:3359:3359))
          (PORT ADDRB[7] (5801:5801:5801)(5801:5801:5801))
          (PORT DIA[0] (1934:1934:1934)(1934:1934:1934))
          (PORT DIA[1] (1925:1925:1925)(1925:1925:1925))
          (PORT DIA[2] (1934:1934:1934)(1934:1934:1934))
          (PORT DIA[3] (1925:1925:1925)(1925:1925:1925))
          (PORT DIA[4] (1393:1393:1393)(1393:1393:1393))
          (PORT DIA[5] (1405:1405:1405)(1405:1405:1405))
          (PORT DIA[6] (1934:1934:1934)(1934:1934:1934))
          (PORT DIA[7] (1934:1934:1934)(1934:1934:1934))
          (PORT DIA[8] (1934:1934:1934)(1934:1934:1934))
          (PORT DIA[9] (1925:1925:1925)(1925:1925:1925))
          (PORT DIA[10] (1934:1934:1934)(1934:1934:1934))
          (PORT DIA[11] (1925:1925:1925)(1925:1925:1925))
          (PORT DIA[12] (1454:1454:1454)(1454:1454:1454))
          (PORT DIA[13] (1454:1454:1454)(1454:1454:1454))
          (PORT DIA[14] (1934:1934:1934)(1934:1934:1934))
          (PORT DIA[15] (1934:1934:1934)(1934:1934:1934))
          (PORT DIB[0] (4612:4612:4612)(4612:4612:4612))
          (PORT DIB[1] (4716:4716:4716)(4716:4716:4716))
          (PORT DIB[2] (2180:2180:2180)(2180:2180:2180))
          (PORT DIB[3] (4857:4857:4857)(4857:4857:4857))
          (PORT DIB[4] (2862:2862:2862)(2862:2862:2862))
          (PORT DIB[5] (1521:1521:1521)(1521:1521:1521))
          (PORT DIB[6] (3031:3031:3031)(3031:3031:3031))
          (PORT DIB[7] (1804:1804:1804)(1804:1804:1804))
          (PORT DIB[8] (2183:2183:2183)(2183:2183:2183))
          (PORT DIB[9] (1934:1934:1934)(1934:1934:1934))
          (PORT DIB[10] (1393:1393:1393)(1393:1393:1393))
          (PORT DIB[11] (1934:1934:1934)(1934:1934:1934))
          (PORT DIB[12] (1925:1925:1925)(1925:1925:1925))
          (PORT DIB[13] (1925:1925:1925)(1925:1925:1925))
          (PORT DIB[14] (1934:1934:1934)(1934:1934:1934))
          (PORT DIB[15] (1934:1934:1934)(1934:1934:1934))
          (IOPATH CLKA DOA[0] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[0] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[1] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[1] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[2] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[2] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[3] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[3] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[4] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[4] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[5] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[5] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[6] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[6] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[7] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[7] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[8] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[8] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[9] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[9] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[10] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[10] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[11] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[11] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[12] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[12] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[13] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[13] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[14] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[14] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[15] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[15] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[0] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[0] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[1] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[1] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[2] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[2] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[3] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[3] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[4] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[4] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[5] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[5] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[6] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[6] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[7] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[7] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[8] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[8] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[9] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[9] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[10] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[10] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[11] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[11] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[12] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[12] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[13] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[13] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[14] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[14] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[15] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[15] (9987:9987:9987)(9987:9987:9987))
        )
      )
      (TIMINGCHECK
        (WIDTH (negedge CLKA) (1806:1806:1806))
        (WIDTH (posedge CLKA) (1806:1806:1806))
        (SETUPHOLD (posedge ENA) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ENA) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge RSTA) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge RSTA) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge WEA) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge WEA) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[0]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[0]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[1]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[1]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[2]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[2]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[3]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[3]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[4]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[4]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[5]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[5]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[6]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[6]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[7]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[7]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[0]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[0]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[1]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[1]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[2]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[2]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[3]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[3]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[4]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[4]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[5]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[5]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[6]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[6]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[7]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[7]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[8]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[8]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[9]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[9]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[10]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[10]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[11]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[11]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[12]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[12]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[13]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[13]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[14]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[14]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[15]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[15]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (WIDTH (negedge CLKB) (1806:1806:1806))
        (WIDTH (posedge CLKB) (1806:1806:1806))
        (SETUPHOLD (posedge ENB) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ENB) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge RSTB) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge RSTB) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge WEB) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge WEB) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[0]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[0]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[1]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[1]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[2]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[2]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[3]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[3]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[4]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[4]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[5]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[5]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[6]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[6]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[7]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[7]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[0]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[0]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[1]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[1]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[2]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[2]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[3]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[3]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[4]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[4]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[5]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[5]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[6]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[6]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[7]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[7]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[8]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[8]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[9]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[9]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[10]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[10]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[11]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[11]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[12]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[12]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[13]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[13]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[14]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[14]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[15]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[15]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (WIDTH (posedge GSR) (15652:15652:15652))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE c_mem\/WEBMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (1717:1717:1717)(1717:1717:1717))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE c_mem\/ENAMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (3768:3768:3768)(3768:3768:3768))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE c_mem\/RSTAMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (5257:5257:5257)(5257:5257:5257))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE c_mem\/RSTBMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (5257:5257:5257)(5257:5257:5257))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_RAMB4_S8_S16")
    (INSTANCE d_mem)
      (DELAY
        (ABSOLUTE
          (PORT CLKA (155:155:155)(155:155:155))
          (PORT CLKB (3132:3132:3132)(3132:3132:3132))
          (PORT ENB (3427:3427:3427)(3427:3427:3427))
          (PORT RSTA (1305:1305:1305)(1305:1305:1305))
          (PORT RSTB (1305:1305:1305)(1305:1305:1305))
          (PORT ADDRA[0] (3483:3483:3483)(3483:3483:3483))
          (PORT ADDRA[1] (3211:3211:3211)(3211:3211:3211))
          (PORT ADDRA[2] (3270:3270:3270)(3270:3270:3270))
          (PORT ADDRA[3] (3277:3277:3277)(3277:3277:3277))
          (PORT ADDRA[4] (3162:3162:3162)(3162:3162:3162))
          (PORT ADDRA[5] (3162:3162:3162)(3162:3162:3162))
          (PORT ADDRA[6] (3565:3565:3565)(3565:3565:3565))
          (PORT ADDRA[7] (3409:3409:3409)(3409:3409:3409))
          (PORT ADDRA[8] (3014:3014:3014)(3014:3014:3014))
          (PORT ADDRB[0] (2592:2592:2592)(2592:2592:2592))
          (PORT ADDRB[1] (2161:2161:2161)(2161:2161:2161))
          (PORT ADDRB[2] (3171:3171:3171)(3171:3171:3171))
          (PORT ADDRB[3] (2735:2735:2735)(2735:2735:2735))
          (PORT ADDRB[4] (2724:2724:2724)(2724:2724:2724))
          (PORT ADDRB[5] (2772:2772:2772)(2772:2772:2772))
          (PORT ADDRB[6] (2464:2464:2464)(2464:2464:2464))
          (PORT ADDRB[7] (2693:2693:2693)(2693:2693:2693))
          (PORT DIA[0] (1550:1550:1550)(1550:1550:1550))
          (PORT DIA[1] (1459:1459:1459)(1459:1459:1459))
          (PORT DIA[2] (1550:1550:1550)(1550:1550:1550))
          (PORT DIA[3] (1459:1459:1459)(1459:1459:1459))
          (PORT DIA[4] (1528:1528:1528)(1528:1528:1528))
          (PORT DIA[5] (1528:1528:1528)(1528:1528:1528))
          (PORT DIA[6] (1550:1550:1550)(1550:1550:1550))
          (PORT DIA[7] (1550:1550:1550)(1550:1550:1550))
          (PORT DIB[0] (3272:3272:3272)(3272:3272:3272))
          (PORT DIB[1] (3786:3786:3786)(3786:3786:3786))
          (PORT DIB[2] (2908:2908:2908)(2908:2908:2908))
          (PORT DIB[3] (3573:3573:3573)(3573:3573:3573))
          (PORT DIB[4] (3423:3423:3423)(3423:3423:3423))
          (PORT DIB[5] (3098:3098:3098)(3098:3098:3098))
          (PORT DIB[6] (2911:2911:2911)(2911:2911:2911))
          (PORT DIB[7] (2834:2834:2834)(2834:2834:2834))
          (PORT DIB[8] (2997:2997:2997)(2997:2997:2997))
          (PORT DIB[9] (2948:2948:2948)(2948:2948:2948))
          (PORT DIB[10] (2663:2663:2663)(2663:2663:2663))
          (PORT DIB[11] (2884:2884:2884)(2884:2884:2884))
          (PORT DIB[12] (2816:2816:2816)(2816:2816:2816))
          (PORT DIB[13] (2935:2935:2935)(2935:2935:2935))
          (PORT DIB[14] (2360:2360:2360)(2360:2360:2360))
          (PORT DIB[15] (2650:2650:2650)(2650:2650:2650))
          (IOPATH CLKA DOA[0] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[0] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[1] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[1] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[2] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[2] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[3] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[3] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[4] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[4] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[5] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[5] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[6] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[6] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKA DOA[7] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOA[7] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[0] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[0] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[1] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[1] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[2] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[2] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[3] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[3] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[4] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[4] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[5] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[5] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[6] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[6] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[7] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[7] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[8] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[8] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[9] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[9] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[10] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[10] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[11] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[11] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[12] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[12] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[13] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[13] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[14] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[14] (9987:9987:9987)(9987:9987:9987))
          (IOPATH CLKB DOB[15] (3316:3316:3316)(3316:3316:3316))
          (IOPATH GSR DOB[15] (9987:9987:9987)(9987:9987:9987))
        )
      )
      (TIMINGCHECK
        (WIDTH (negedge CLKA) (1806:1806:1806))
        (WIDTH (posedge CLKA) (1806:1806:1806))
        (SETUPHOLD (posedge ENA) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ENA) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge RSTA) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge RSTA) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge WEA) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge WEA) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[0]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[0]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[1]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[1]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[2]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[2]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[3]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[3]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[4]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[4]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[5]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[5]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[6]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[6]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[7]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[7]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRA[8]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRA[8]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[0]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[0]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[1]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[1]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[2]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[2]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[3]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[3]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[4]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[4]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[5]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[5]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[6]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[6]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIA[7]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIA[7]) (posedge CLKA) (1345:1345:1345) (0:0:0))
        (WIDTH (negedge CLKB) (1806:1806:1806))
        (WIDTH (posedge CLKB) (1806:1806:1806))
        (SETUPHOLD (posedge ENB) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ENB) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge RSTB) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge RSTB) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge WEB) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge WEB) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[0]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[0]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[1]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[1]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[2]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[2]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[3]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[3]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[4]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[4]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[5]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[5]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[6]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[6]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge ADDRB[7]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge ADDRB[7]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[0]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[0]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[1]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[1]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[2]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[2]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[3]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[3]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[4]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[4]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[5]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[5]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[6]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[6]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[7]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[7]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[8]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[8]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[9]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[9]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[10]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[10]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[11]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[11]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[12]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[12]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[13]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[13]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[14]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[14]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (posedge DIB[15]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (SETUPHOLD (negedge DIB[15]) (posedge CLKB) (1345:1345:1345) (0:0:0))
        (WIDTH (posedge GSR) (15652:15652:15652))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE d_mem\/RSTAMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (1725:1725:1725)(1725:1725:1725))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE d_mem\/RSTBMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (1725:1725:1725)(1725:1725:1725))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<12\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_16111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (929:929:929)(929:929:929))
          (PORT ADR2 (1102:1102:1102)(1102:1102:1102))
          (PORT ADR3 (2092:2092:2092)(2092:2092:2092))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_16111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2197:2197:2197)(2197:2197:2197))
          (PORT ADR2 (1088:1088:1088)(1088:1088:1088))
          (PORT ADR3 (712:712:712)(712:712:712))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_16111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (2194:2194:2194)(2194:2194:2194))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<3\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_7111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (658:658:658)(658:658:658))
          (PORT ADR1 (616:616:616)(616:616:616))
          (PORT ADR2 (2824:2824:2824)(2824:2824:2824))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_7111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (875:875:875)(875:875:875))
          (PORT ADR2 (2797:2797:2797)(2797:2797:2797))
          (PORT ADR3 (722:722:722)(722:722:722))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_7111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (3078:3078:3078)(3078:3078:3078))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<13\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_17111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (927:927:927)(927:927:927))
          (PORT ADR1 (2243:2243:2243)(2243:2243:2243))
          (PORT ADR2 (1090:1090:1090)(1090:1090:1090))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_17111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2224:2224:2224)(2224:2224:2224))
          (PORT ADR2 (448:448:448)(448:448:448))
          (PORT ADR3 (1056:1056:1056)(1056:1056:1056))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_17111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (2305:2305:2305)(2305:2305:2305))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<4\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_8111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (642:642:642)(642:642:642))
          (PORT ADR1 (2502:2502:2502)(2502:2502:2502))
          (PORT ADR3 (736:736:736)(736:736:736))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_8111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (961:961:961)(961:961:961))
          (PORT ADR1 (2483:2483:2483)(2483:2483:2483))
          (PORT ADR3 (690:690:690)(690:690:690))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_8111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (2713:2713:2713)(2713:2713:2713))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<14\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_18111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (929:929:929)(929:929:929))
          (PORT ADR2 (1352:1352:1352)(1352:1352:1352))
          (PORT ADR3 (729:729:729)(729:729:729))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_18111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1863:1863:1863)(1863:1863:1863))
          (PORT ADR1 (849:849:849)(849:849:849))
          (PORT ADR3 (869:869:869)(869:869:869))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_18111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (2353:2353:2353)(2353:2353:2353))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<5\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_9111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (642:642:642)(642:642:642))
          (PORT ADR2 (2393:2393:2393)(2393:2393:2393))
          (PORT ADR3 (759:759:759)(759:759:759))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_9111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1004:1004:1004)(1004:1004:1004))
          (PORT ADR2 (850:850:850)(850:850:850))
          (PORT ADR3 (2634:2634:2634)(2634:2634:2634))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_9111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (2967:2967:2967)(2967:2967:2967))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<15\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_19111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (887:887:887)(887:887:887))
          (PORT ADR1 (1035:1035:1035)(1035:1035:1035))
          (PORT ADR2 (1569:1569:1569)(1569:1569:1569))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_19111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1310:1310:1310)(1310:1310:1310))
          (PORT ADR1 (1675:1675:1675)(1675:1675:1675))
          (PORT ADR3 (722:722:722)(722:722:722))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_19111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (2425:2425:2425)(2425:2425:2425))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Mmux__n0074__net2\/F5USED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0074_inst_lut3_01)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1425:1425:1425)(1425:1425:1425))
          (PORT ADR2 (513:513:513)(513:513:513))
          (PORT ADR3 (3892:3892:3892)(3892:3892:3892))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0074_inst_lut3_11)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1382:1382:1382)(1382:1382:1382))
          (PORT ADR2 (3820:3820:3820)(3820:3820:3820))
          (PORT ADR3 (552:552:552)(552:552:552))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux__n0074_inst_mux_f5_0)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (3144:3144:3144)(3144:3144:3144))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux__n0074_inst_mux_f6_0)
      (DELAY
        (ABSOLUTE
          (PORT IA (163:163:163)(163:163:163))
          (PORT IB (80:80:80)(80:80:80))
          (PORT SEL (2535:2535:2535)(2535:2535:2535))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0246\<2\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (153:153:153)(153:153:153))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0074_inst_lut3_21)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (4071:4071:4071)(4071:4071:4071))
          (PORT ADR2 (883:883:883)(883:883:883))
          (PORT ADR3 (593:593:593)(593:593:593))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0074_inst_lut3_31)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (4089:4089:4089)(4089:4089:4089))
          (PORT ADR1 (1360:1360:1360)(1360:1360:1360))
          (PORT ADR2 (1642:1642:1642)(1642:1642:1642))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux__n0074_inst_mux_f5_1)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (3130:3130:3130)(3130:3130:3130))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Mmux__n0074__net9\/F5USED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0074_inst_lut3_41)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1278:1278:1278)(1278:1278:1278))
          (PORT ADR1 (1481:1481:1481)(1481:1481:1481))
          (PORT ADR2 (3693:3693:3693)(3693:3693:3693))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0074_inst_lut3_51)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1279:1279:1279)(1279:1279:1279))
          (PORT ADR2 (1447:1447:1447)(1447:1447:1447))
          (PORT ADR3 (4309:4309:4309)(4309:4309:4309))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux__n0074_inst_mux_f5_2)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (3436:3436:3436)(3436:3436:3436))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux__n0074_inst_mux_f6_1)
      (DELAY
        (ABSOLUTE
          (PORT IA (163:163:163)(163:163:163))
          (PORT IB (80:80:80)(80:80:80))
          (PORT SEL (2819:2819:2819)(2819:2819:2819))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0246\<3\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (153:153:153)(153:153:153))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0074_inst_lut3_61)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (640:640:640)(640:640:640))
          (PORT ADR2 (4410:4410:4410)(4410:4410:4410))
          (PORT ADR3 (815:815:815)(815:815:815))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0074_inst_lut3_71)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (4045:4045:4045)(4045:4045:4045))
          (PORT ADR2 (559:559:559)(559:559:559))
          (PORT ADR3 (3119:3119:3119)(3119:3119:3119))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux__n0074_inst_mux_f5_3)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (3478:3478:3478)(3478:3478:3478))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<6\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_10111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (919:919:919)(919:919:919))
          (PORT ADR2 (2070:2070:2070)(2070:2070:2070))
          (PORT ADR3 (463:463:463)(463:463:463))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_10111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (928:928:928)(928:928:928))
          (PORT ADR2 (2043:2043:2043)(2043:2043:2043))
          (PORT ADR3 (706:706:706)(706:706:706))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_10111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (3028:3028:3028)(3028:3028:3028))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<7\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_11111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (642:642:642)(642:642:642))
          (PORT ADR2 (1459:1459:1459)(1459:1459:1459))
          (PORT ADR3 (751:751:751)(751:751:751))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_11111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2273:2273:2273)(2273:2273:2273))
          (PORT ADR1 (976:976:976)(976:976:976))
          (PORT ADR3 (722:722:722)(722:722:722))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_11111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (2842:2842:2842)(2842:2842:2842))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<8\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_12111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (956:956:956)(956:956:956))
          (PORT ADR2 (2560:2560:2560)(2560:2560:2560))
          (PORT ADR3 (840:840:840)(840:840:840))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_12111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (956:956:956)(956:956:956))
          (PORT ADR1 (1298:1298:1298)(1298:1298:1298))
          (PORT ADR2 (2533:2533:2533)(2533:2533:2533))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_12111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (2490:2490:2490)(2490:2490:2490))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<9\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_13111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (934:934:934)(934:934:934))
          (PORT ADR1 (2493:2493:2493)(2493:2493:2493))
          (PORT ADR3 (894:894:894)(894:894:894))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_13111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2474:2474:2474)(2474:2474:2474))
          (PORT ADR2 (860:860:860)(860:860:860))
          (PORT ADR3 (697:697:697)(697:697:697))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_13111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (2642:2642:2642)(2642:2642:2642))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<0\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_4111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (930:930:930)(930:930:930))
          (PORT ADR1 (996:996:996)(996:996:996))
          (PORT ADR3 (2796:2796:2796)(2796:2796:2796))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_4111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (581:581:581)(581:581:581))
          (PORT ADR2 (843:843:843)(843:843:843))
          (PORT ADR3 (2757:2757:2757)(2757:2757:2757))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_4111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (2743:2743:2743)(2743:2743:2743))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<10\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_14111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1131:1131:1131)(1131:1131:1131))
          (PORT ADR2 (2435:2435:2435)(2435:2435:2435))
          (PORT ADR3 (751:751:751)(751:751:751))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_14111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2458:2458:2458)(2458:2458:2458))
          (PORT ADR1 (849:849:849)(849:849:849))
          (PORT ADR3 (1163:1163:1163)(1163:1163:1163))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_14111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (2642:2642:2642)(2642:2642:2642))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<1\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_5111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (3039:3039:3039)(3039:3039:3039))
          (PORT ADR2 (743:743:743)(743:743:743))
          (PORT ADR3 (1185:1185:1185)(1185:1185:1185))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_5111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (3020:3020:3020)(3020:3020:3020))
          (PORT ADR2 (850:850:850)(850:850:850))
          (PORT ADR3 (703:703:703)(703:703:703))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_5111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (2801:2801:2801)(2801:2801:2801))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<11\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_15111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2466:2466:2466)(2466:2466:2466))
          (PORT ADR2 (491:491:491)(491:491:491))
          (PORT ADR3 (871:871:871)(871:871:871))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_15111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1410:1410:1410)(1410:1410:1410))
          (PORT ADR1 (2447:2447:2447)(2447:2447:2447))
          (PORT ADR3 (712:712:712)(712:712:712))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_15111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (2201:2201:2201)(2201:2201:2201))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE data_in_bus\<2\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (168:168:168)(168:168:168))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_6111_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (930:930:930)(930:930:930))
          (PORT ADR2 (2472:2472:2472)(2472:2472:2472))
          (PORT ADR3 (755:755:755)(755:755:755))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_6111_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2937:2937:2937)(2937:2937:2937))
          (PORT ADR1 (1241:1241:1241)(1241:1241:1241))
          (PORT ADR3 (440:440:440)(440:440:440))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Mmux_data_in_bus_inst_mux_f5_6111)
      (DELAY
        (ABSOLUTE
          (PORT IA (457:457:457)(457:457:457))
          (PORT IB (447:447:447)(447:447:447))
          (PORT SEL (3136:3136:3136)(3136:3136:3136))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE d_mem_addr_cnt_Madd__n0000_inst_sum_1)
      (DELAY
        (ABSOLUTE
          (PORT I0 (110:110:110)(110:110:110))
          (PORT I1 (321:321:321)(321:321:321))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE d_mem_addr_cnt_Madd__n0000_inst_cy_1)
      (DELAY
        (ABSOLUTE
          (PORT IA (943:943:943)(943:943:943))
          (PORT IB (42:42:42)(42:42:42))
          (PORT SEL (934:934:934)(934:934:934))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE d_mem_addr_cnt\<0\>\/G)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (813:813:813)(813:813:813))
          (PORT ADR2 (780:780:780)(780:780:780))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE d_mem_addr_cnt_Madd__n0000_inst_lut2_01)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (383:383:383)(383:383:383))
          (PORT ADR1 (872:872:872)(872:872:872))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE d_mem_addr_cnt_Madd__n0000_inst_cy_0_53)
      (DELAY
        (ABSOLUTE
          (PORT IA (648:648:648)(648:648:648))
          (PORT IB (39:39:39)(39:39:39))
          (PORT SEL (969:969:969)(969:969:969))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_SFF")
    (INSTANCE d_mem_addr_cnt_0)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (1007:1007:1007)(1007:1007:1007))
          (PORT CLK (5000:5000:5000)(5000:5000:5000))
          (PORT SET (8725:8725:8725)(8725:8725:8725))
          (PORT RST (8725:8725:8725)(8725:8725:8725))
          (PORT SSET (1630:1630:1630)(1630:1630:1630))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (1085:1085:1085)(1085:1085:1085))
          (IOPATH RST O (1085:1085:1085)(1085:1085:1085))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (SETUPHOLD (posedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (WIDTH (posedge SET) (15652:15652:15652))
        (WIDTH (posedge RST) (15652:15652:15652))
      )
  )
  (CELL (CELLTYPE "X_SFF")
    (INSTANCE d_mem_addr_cnt_1)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (1007:1007:1007)(1007:1007:1007))
          (PORT CLK (5000:5000:5000)(5000:5000:5000))
          (PORT SET (8725:8725:8725)(8725:8725:8725))
          (PORT RST (8725:8725:8725)(8725:8725:8725))
          (PORT SSET (1630:1630:1630)(1630:1630:1630))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (1085:1085:1085)(1085:1085:1085))
          (IOPATH RST O (1085:1085:1085)(1085:1085:1085))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (SETUPHOLD (posedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (WIDTH (posedge SET) (15652:15652:15652))
        (WIDTH (posedge RST) (15652:15652:15652))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE d_mem_addr_cnt\<2\>\/CYINIT_55)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE d_mem_addr_cnt_Madd__n0000_inst_sum_3)
      (DELAY
        (ABSOLUTE
          (PORT I0 (110:110:110)(110:110:110))
          (PORT I1 (321:321:321)(321:321:321))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE d_mem_addr_cnt_Madd__n0000_inst_cy_3)
      (DELAY
        (ABSOLUTE
          (PORT IB (42:42:42)(42:42:42))
          (PORT SEL (934:934:934)(934:934:934))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE d_mem_addr_cnt\<2\>\/G)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (786:786:786)(786:786:786))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE d_mem_addr_cnt\<2\>\/F)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (744:744:744)(744:744:744))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE d_mem_addr_cnt_Madd__n0000_inst_sum_2)
      (DELAY
        (ABSOLUTE
          (PORT I0 (79:79:79)(79:79:79))
          (PORT I1 (179:179:179)(179:179:179))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE d_mem_addr_cnt_Madd__n0000_inst_cy_2_56)
      (DELAY
        (ABSOLUTE
          (PORT IB (39:39:39)(39:39:39))
          (PORT SEL (969:969:969)(969:969:969))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_SFF")
    (INSTANCE d_mem_addr_cnt_2)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (936:936:936)(936:936:936))
          (PORT CLK (5000:5000:5000)(5000:5000:5000))
          (PORT SET (8725:8725:8725)(8725:8725:8725))
          (PORT RST (8725:8725:8725)(8725:8725:8725))
          (PORT SSET (1638:1638:1638)(1638:1638:1638))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (1085:1085:1085)(1085:1085:1085))
          (IOPATH RST O (1085:1085:1085)(1085:1085:1085))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (SETUPHOLD (posedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (WIDTH (posedge SET) (15652:15652:15652))
        (WIDTH (posedge RST) (15652:15652:15652))
      )
  )
  (CELL (CELLTYPE "X_SFF")
    (INSTANCE d_mem_addr_cnt_3)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (936:936:936)(936:936:936))
          (PORT CLK (5000:5000:5000)(5000:5000:5000))
          (PORT SET (8725:8725:8725)(8725:8725:8725))
          (PORT RST (8725:8725:8725)(8725:8725:8725))
          (PORT SSET (1638:1638:1638)(1638:1638:1638))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (1085:1085:1085)(1085:1085:1085))
          (IOPATH RST O (1085:1085:1085)(1085:1085:1085))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (SETUPHOLD (posedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (WIDTH (posedge SET) (15652:15652:15652))
        (WIDTH (posedge RST) (15652:15652:15652))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE d_mem_addr_cnt\<4\>\/CYINIT_58)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE d_mem_addr_cnt\<4\>_rt_59)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (706:706:706)(706:706:706))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE d_mem_addr_cnt_Madd__n0000_inst_sum_4)
      (DELAY
        (ABSOLUTE
          (PORT I0 (79:79:79)(79:79:79))
          (PORT I1 (179:179:179)(179:179:179))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_SFF")
    (INSTANCE d_mem_addr_cnt_4)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (814:814:814)(814:814:814))
          (PORT CLK (4987:4987:4987)(4987:4987:4987))
          (PORT SET (8725:8725:8725)(8725:8725:8725))
          (PORT RST (8725:8725:8725)(8725:8725:8725))
          (PORT SSET (1663:1663:1663)(1663:1663:1663))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (1085:1085:1085)(1085:1085:1085))
          (IOPATH RST O (1085:1085:1085)(1085:1085:1085))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (SETUPHOLD (posedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (WIDTH (posedge SET) (15652:15652:15652))
        (WIDTH (posedge RST) (15652:15652:15652))
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE c_mem_addr_cnt_Madd__n0000_inst_sum_1)
      (DELAY
        (ABSOLUTE
          (PORT I0 (110:110:110)(110:110:110))
          (PORT I1 (321:321:321)(321:321:321))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE c_mem_addr_cnt_Madd__n0000_inst_cy_1)
      (DELAY
        (ABSOLUTE
          (PORT IA (918:918:918)(918:918:918))
          (PORT IB (42:42:42)(42:42:42))
          (PORT SEL (934:934:934)(934:934:934))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE c_mem_addr_cnt\<0\>\/G)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (788:788:788)(788:788:788))
          (PORT ADR1 (915:915:915)(915:915:915))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE c_mem_addr_cnt_Madd__n0000_inst_lut2_01)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (543:543:543)(543:543:543))
          (PORT ADR3 (734:734:734)(734:734:734))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE c_mem_addr_cnt_Madd__n0000_inst_cy_0_60)
      (DELAY
        (ABSOLUTE
          (PORT IA (808:808:808)(808:808:808))
          (PORT IB (39:39:39)(39:39:39))
          (PORT SEL (969:969:969)(969:969:969))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_SFF")
    (INSTANCE c_mem_addr_cnt_0)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (1896:1896:1896)(1896:1896:1896))
          (PORT CLK (5034:5034:5034)(5034:5034:5034))
          (PORT SET (8725:8725:8725)(8725:8725:8725))
          (PORT RST (8725:8725:8725)(8725:8725:8725))
          (PORT SSET (1830:1830:1830)(1830:1830:1830))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (1085:1085:1085)(1085:1085:1085))
          (IOPATH RST O (1085:1085:1085)(1085:1085:1085))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (SETUPHOLD (posedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (WIDTH (posedge SET) (15652:15652:15652))
        (WIDTH (posedge RST) (15652:15652:15652))
      )
  )
  (CELL (CELLTYPE "X_SFF")
    (INSTANCE c_mem_addr_cnt_1)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (1896:1896:1896)(1896:1896:1896))
          (PORT CLK (5034:5034:5034)(5034:5034:5034))
          (PORT SET (8725:8725:8725)(8725:8725:8725))
          (PORT RST (8725:8725:8725)(8725:8725:8725))
          (PORT SRST (1830:1830:1830)(1830:1830:1830))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (1085:1085:1085)(1085:1085:1085))
          (IOPATH RST O (1085:1085:1085)(1085:1085:1085))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (SETUPHOLD (posedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (WIDTH (posedge SET) (15652:15652:15652))
        (WIDTH (posedge RST) (15652:15652:15652))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE c_mem_addr_cnt\<2\>\/CYINIT_62)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE c_mem_addr_cnt_Madd__n0000_inst_sum_3)
      (DELAY
        (ABSOLUTE
          (PORT I0 (110:110:110)(110:110:110))
          (PORT I1 (321:321:321)(321:321:321))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE c_mem_addr_cnt_Madd__n0000_inst_cy_3)
      (DELAY
        (ABSOLUTE
          (PORT IB (42:42:42)(42:42:42))
          (PORT SEL (934:934:934)(934:934:934))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE c_mem_addr_cnt\<2\>\/G)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (773:773:773)(773:773:773))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE c_mem_addr_cnt\<2\>\/F)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (885:885:885)(885:885:885))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE c_mem_addr_cnt_Madd__n0000_inst_sum_2)
      (DELAY
        (ABSOLUTE
          (PORT I0 (79:79:79)(79:79:79))
          (PORT I1 (179:179:179)(179:179:179))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE c_mem_addr_cnt_Madd__n0000_inst_cy_2_63)
      (DELAY
        (ABSOLUTE
          (PORT IB (39:39:39)(39:39:39))
          (PORT SEL (969:969:969)(969:969:969))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_SFF")
    (INSTANCE c_mem_addr_cnt_3)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (1951:1951:1951)(1951:1951:1951))
          (PORT CLK (5002:5002:5002)(5002:5002:5002))
          (PORT SET (8725:8725:8725)(8725:8725:8725))
          (PORT RST (8725:8725:8725)(8725:8725:8725))
          (PORT SRST (1994:1994:1994)(1994:1994:1994))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (1085:1085:1085)(1085:1085:1085))
          (IOPATH RST O (1085:1085:1085)(1085:1085:1085))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (SETUPHOLD (posedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (WIDTH (posedge SET) (15652:15652:15652))
        (WIDTH (posedge RST) (15652:15652:15652))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE c_mem_addr_cnt\<4\>\/CYINIT_65)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE c_mem_addr_cnt\<4\>_rt_66)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (919:919:919)(919:919:919))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE c_mem_addr_cnt_Madd__n0000_inst_sum_4)
      (DELAY
        (ABSOLUTE
          (PORT I0 (79:79:79)(79:79:79))
          (PORT I1 (179:179:179)(179:179:179))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_SFF")
    (INSTANCE c_mem_addr_cnt_4)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (1985:1985:1985)(1985:1985:1985))
          (PORT CLK (4962:4962:4962)(4962:4962:4962))
          (PORT SET (8725:8725:8725)(8725:8725:8725))
          (PORT RST (8725:8725:8725)(8725:8725:8725))
          (PORT SRST (1920:1920:1920)(1920:1920:1920))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (1085:1085:1085)(1085:1085:1085))
          (IOPATH RST O (1085:1085:1085)(1085:1085:1085))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (SETUPHOLD (posedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (WIDTH (posedge SET) (15652:15652:15652))
        (WIDTH (posedge RST) (15652:15652:15652))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_cnt\<0\>\/SRMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (3651:3651:3651)(3651:3651:3651))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE frame_cnt_Madd__n0000_inst_sum_6)
      (DELAY
        (ABSOLUTE
          (PORT I0 (110:110:110)(110:110:110))
          (PORT I1 (321:321:321)(321:321:321))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE frame_cnt_Madd__n0000_inst_cy_6)
      (DELAY
        (ABSOLUTE
          (PORT IA (907:907:907)(907:907:907))
          (PORT IB (42:42:42)(42:42:42))
          (PORT SEL (934:934:934)(934:934:934))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_cnt\<0\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_cnt\<0\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (392:392:392)(392:392:392))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_cnt\<0\>\/G)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (777:777:777)(777:777:777))
          (PORT ADR3 (1085:1085:1085)(1085:1085:1085))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_cnt_Madd__n0000_inst_lut2_51)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (543:543:543)(543:543:543))
          (PORT ADR1 (950:950:950)(950:950:950))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE frame_cnt_Madd__n0000_inst_cy_5_67)
      (DELAY
        (ABSOLUTE
          (PORT IA (808:808:808)(808:808:808))
          (PORT IB (39:39:39)(39:39:39))
          (PORT SEL (969:969:969)(969:969:969))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_cnt\<0\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_cnt_0)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_cnt\<0\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_cnt_1)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_cnt\<2\>\/CYINIT_69)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_cnt\<2\>\/SRMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (4121:4121:4121)(4121:4121:4121))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE frame_cnt_Madd__n0000_inst_sum_8)
      (DELAY
        (ABSOLUTE
          (PORT I0 (110:110:110)(110:110:110))
          (PORT I1 (321:321:321)(321:321:321))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE frame_cnt_Madd__n0000_inst_cy_8)
      (DELAY
        (ABSOLUTE
          (PORT IB (42:42:42)(42:42:42))
          (PORT SEL (934:934:934)(934:934:934))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_cnt\<2\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (388:388:388)(388:388:388))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_cnt\<2\>\/G)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (930:930:930)(930:930:930))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_cnt\<2\>\/F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (990:990:990)(990:990:990))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE frame_cnt_Madd__n0000_inst_sum_7)
      (DELAY
        (ABSOLUTE
          (PORT I0 (79:79:79)(79:79:79))
          (PORT I1 (179:179:179)(179:179:179))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE frame_cnt_Madd__n0000_inst_cy_7_70)
      (DELAY
        (ABSOLUTE
          (PORT IB (39:39:39)(39:39:39))
          (PORT SEL (969:969:969)(969:969:969))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_cnt\<2\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_cnt_2)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_cnt\<4\>\/CYINIT_72)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_cnt\<4\>\/SRMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (4338:4338:4338)(4338:4338:4338))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE frame_cnt_Madd__n0000_inst_sum_10)
      (DELAY
        (ABSOLUTE
          (PORT I0 (110:110:110)(110:110:110))
          (PORT I1 (321:321:321)(321:321:321))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE frame_cnt_Madd__n0000_inst_cy_10)
      (DELAY
        (ABSOLUTE
          (PORT IB (42:42:42)(42:42:42))
          (PORT SEL (934:934:934)(934:934:934))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_cnt\<4\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (463:463:463)(463:463:463))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_cnt\<4\>\/G)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (793:793:793)(793:793:793))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_cnt\<4\>\/F)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (872:872:872)(872:872:872))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE frame_cnt_Madd__n0000_inst_sum_9)
      (DELAY
        (ABSOLUTE
          (PORT I0 (79:79:79)(79:79:79))
          (PORT I1 (179:179:179)(179:179:179))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE frame_cnt_Madd__n0000_inst_cy_9_73)
      (DELAY
        (ABSOLUTE
          (PORT IB (39:39:39)(39:39:39))
          (PORT SEL (969:969:969)(969:969:969))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_cnt\<4\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_cnt_4)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_cnt\<4\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_cnt_5)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_cnt\<6\>\/CYINIT_75)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_cnt\<6\>\/SRMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (4304:4304:4304)(4304:4304:4304))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE frame_cnt_Madd__n0000_inst_sum_12)
      (DELAY
        (ABSOLUTE
          (PORT I0 (110:110:110)(110:110:110))
          (PORT I1 (321:321:321)(321:321:321))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE frame_cnt_Madd__n0000_inst_cy_12)
      (DELAY
        (ABSOLUTE
          (PORT IB (42:42:42)(42:42:42))
          (PORT SEL (934:934:934)(934:934:934))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_cnt\<6\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (463:463:463)(463:463:463))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_cnt\<6\>\/G)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (780:780:780)(780:780:780))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_cnt\<6\>\/F)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (872:872:872)(872:872:872))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE frame_cnt_Madd__n0000_inst_sum_11)
      (DELAY
        (ABSOLUTE
          (PORT I0 (79:79:79)(79:79:79))
          (PORT I1 (179:179:179)(179:179:179))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE frame_cnt_Madd__n0000_inst_cy_11_76)
      (DELAY
        (ABSOLUTE
          (PORT IB (39:39:39)(39:39:39))
          (PORT SEL (969:969:969)(969:969:969))
          (IOPATH IA O (0:0:0)(0:0:0))
          (IOPATH IB O (0:0:0)(0:0:0))
          (IOPATH SEL O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_cnt\<6\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_cnt_6)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_cnt\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_cnt_7)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_cnt\<8\>\/CYINIT_78)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_cnt\<8\>\/SRMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (4372:4372:4372)(4372:4372:4372))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_cnt\<8\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (463:463:463)(463:463:463))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_cnt\<8\>_rt_79)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (950:950:950)(950:950:950))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE frame_cnt_Madd__n0000_inst_sum_13)
      (DELAY
        (ABSOLUTE
          (PORT I0 (79:79:79)(79:79:79))
          (PORT I1 (179:179:179)(179:179:179))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_cnt\<8\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_cnt_8)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_0\<1\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00631)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (1326:1326:1326)(1326:1326:1326))
          (PORT ADR3 (1237:1237:1237)(1237:1237:1237))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_0\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_0_0)
      (DELAY
        (ABSOLUTE
          (PORT I (996:996:996)(996:996:996))
          (PORT CE (612:612:612)(612:612:612))
          (PORT CLK (4778:4778:4778)(4778:4778:4778))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_0\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_0_1)
      (DELAY
        (ABSOLUTE
          (PORT I (960:960:960)(960:960:960))
          (PORT CE (612:612:612)(612:612:612))
          (PORT CLK (4778:4778:4778)(4778:4778:4778))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_1\<1\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00621)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1471:1471:1471)(1471:1471:1471))
          (PORT ADR3 (1324:1324:1324)(1324:1324:1324))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_1\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_1_0)
      (DELAY
        (ABSOLUTE
          (PORT I (974:974:974)(974:974:974))
          (PORT CE (612:612:612)(612:612:612))
          (PORT CLK (5002:5002:5002)(5002:5002:5002))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_1\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_1_1)
      (DELAY
        (ABSOLUTE
          (PORT I (954:954:954)(954:954:954))
          (PORT CE (612:612:612)(612:612:612))
          (PORT CLK (5002:5002:5002)(5002:5002:5002))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_2\<1\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00611)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1543:1543:1543)(1543:1543:1543))
          (PORT ADR2 (1363:1363:1363)(1363:1363:1363))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_2\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_2_1)
      (DELAY
        (ABSOLUTE
          (PORT I (966:966:966)(966:966:966))
          (PORT CE (563:563:563)(563:563:563))
          (PORT CLK (4899:4899:4899)(4899:4899:4899))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_2\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_2_0)
      (DELAY
        (ABSOLUTE
          (PORT I (977:977:977)(977:977:977))
          (PORT CE (563:563:563)(563:563:563))
          (PORT CLK (4899:4899:4899)(4899:4899:4899))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_3\<1\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00601)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1392:1392:1392)(1392:1392:1392))
          (PORT ADR1 (1354:1354:1354)(1354:1354:1354))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_3\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_3_1)
      (DELAY
        (ABSOLUTE
          (PORT I (954:954:954)(954:954:954))
          (PORT CE (672:672:672)(672:672:672))
          (PORT CLK (5034:5034:5034)(5034:5034:5034))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_3\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_3_0)
      (DELAY
        (ABSOLUTE
          (PORT I (974:974:974)(974:974:974))
          (PORT CE (672:672:672)(672:672:672))
          (PORT CLK (5034:5034:5034)(5034:5034:5034))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_4\<1\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00591)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (1525:1525:1525)(1525:1525:1525))
          (PORT ADR3 (1541:1541:1541)(1541:1541:1541))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_4\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_4_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1108:1108:1108)(1108:1108:1108))
          (PORT CE (612:612:612)(612:612:612))
          (PORT CLK (4845:4845:4845)(4845:4845:4845))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_4\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_4_0)
      (DELAY
        (ABSOLUTE
          (PORT I (996:996:996)(996:996:996))
          (PORT CE (612:612:612)(612:612:612))
          (PORT CLK (4845:4845:4845)(4845:4845:4845))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_5\<1\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00581)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (1341:1341:1341)(1341:1341:1341))
          (PORT ADR3 (1366:1366:1366)(1366:1366:1366))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_5\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_5_0)
      (DELAY
        (ABSOLUTE
          (PORT I (974:974:974)(974:974:974))
          (PORT CE (563:563:563)(563:563:563))
          (PORT CLK (5044:5044:5044)(5044:5044:5044))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_5\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_5_1)
      (DELAY
        (ABSOLUTE
          (PORT I (954:954:954)(954:954:954))
          (PORT CE (563:563:563)(563:563:563))
          (PORT CLK (5044:5044:5044)(5044:5044:5044))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_6\<1\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00571)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (1355:1355:1355)(1355:1355:1355))
          (PORT ADR3 (1608:1608:1608)(1608:1608:1608))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_6\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_6_0)
      (DELAY
        (ABSOLUTE
          (PORT I (1313:1313:1313)(1313:1313:1313))
          (PORT CE (581:581:581)(581:581:581))
          (PORT CLK (4870:4870:4870)(4870:4870:4870))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_6\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_6_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1352:1352:1352)(1352:1352:1352))
          (PORT CE (581:581:581)(581:581:581))
          (PORT CLK (4870:4870:4870)(4870:4870:4870))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_7\<1\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00561)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (1178:1178:1178)(1178:1178:1178))
          (PORT ADR3 (1126:1126:1126)(1126:1126:1126))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_7\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_7_0)
      (DELAY
        (ABSOLUTE
          (PORT I (974:974:974)(974:974:974))
          (PORT CE (563:563:563)(563:563:563))
          (PORT CLK (4856:4856:4856)(4856:4856:4856))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_7\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_7_1)
      (DELAY
        (ABSOLUTE
          (PORT I (954:954:954)(954:954:954))
          (PORT CE (563:563:563)(563:563:563))
          (PORT CLK (4856:4856:4856)(4856:4856:4856))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_4\<1\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00311)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1908:1908:1908)(1908:1908:1908))
          (PORT ADR1 (1831:1831:1831)(1831:1831:1831))
          (PORT ADR2 (1726:1726:1726)(1726:1726:1726))
          (PORT ADR3 (1616:1616:1616)(1616:1616:1616))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_4\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_4_0)
      (DELAY
        (ABSOLUTE
          (PORT I (3457:3457:3457)(3457:3457:3457))
          (PORT CE (806:806:806)(806:806:806))
          (PORT CLK (396:396:396)(396:396:396))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_4\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_4_1)
      (DELAY
        (ABSOLUTE
          (PORT I (2332:2332:2332)(2332:2332:2332))
          (PORT CE (806:806:806)(806:806:806))
          (PORT CLK (396:396:396)(396:396:396))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_5\<1\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00321)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1819:1819:1819)(1819:1819:1819))
          (PORT ADR1 (1851:1851:1851)(1851:1851:1851))
          (PORT ADR2 (1706:1706:1706)(1706:1706:1706))
          (PORT ADR3 (1729:1729:1729)(1729:1729:1729))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_5\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_5_0)
      (DELAY
        (ABSOLUTE
          (PORT I (3423:3423:3423)(3423:3423:3423))
          (PORT CE (669:669:669)(669:669:669))
          (PORT CLK (396:396:396)(396:396:396))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_5\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_5_1)
      (DELAY
        (ABSOLUTE
          (PORT I (2331:2331:2331)(2331:2331:2331))
          (PORT CE (669:669:669)(669:669:669))
          (PORT CLK (396:396:396)(396:396:396))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0019_I6_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2600:2600:2600)(2600:2600:2600))
          (PORT ADR1 (1390:1390:1390)(1390:1390:1390))
          (PORT ADR2 (749:749:749)(749:749:749))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0019_I5_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1339:1339:1339)(1339:1339:1339))
          (PORT ADR2 (2234:2234:2234)(2234:2234:2234))
          (PORT ADR3 (1089:1089:1089)(1089:1089:1089))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_0\<2\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_0_1)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4765:4765:4765)(4765:4765:4765))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_0\<2\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_0_2)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4765:4765:4765)(4765:4765:4765))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0019_I4_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1917:1917:1917)(1917:1917:1917))
          (PORT ADR2 (749:749:749)(749:749:749))
          (PORT ADR3 (915:915:915)(915:915:915))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0019_I3_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1027:1027:1027)(1027:1027:1027))
          (PORT ADR2 (2460:2460:2460)(2460:2460:2460))
          (PORT ADR3 (1180:1180:1180)(1180:1180:1180))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_0\<4\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_0_3)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4501:4501:4501)(4501:4501:4501))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_0\<4\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_0_4)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4501:4501:4501)(4501:4501:4501))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0020_I6_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1647:1647:1647)(1647:1647:1647))
          (PORT ADR2 (2991:2991:2991)(2991:2991:2991))
          (PORT ADR3 (745:745:745)(745:745:745))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0020_I5_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2253:2253:2253)(2253:2253:2253))
          (PORT ADR2 (831:831:831)(831:831:831))
          (PORT ADR3 (725:725:725)(725:725:725))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_1\<2\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_1_1)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4773:4773:4773)(4773:4773:4773))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_1\<2\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_1_2)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4773:4773:4773)(4773:4773:4773))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0019_I2_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (959:959:959)(959:959:959))
          (PORT ADR2 (751:751:751)(751:751:751))
          (PORT ADR3 (1050:1050:1050)(1050:1050:1050))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0019_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1058:1058:1058)(1058:1058:1058))
          (PORT ADR1 (847:847:847)(847:847:847))
          (PORT ADR2 (712:712:712)(712:712:712))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_0\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_0_5)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4558:4558:4558)(4558:4558:4558))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_0\<6\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_0_6)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4558:4558:4558)(4558:4558:4558))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_0\<7\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0019_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (748:748:748)(748:748:748))
          (PORT ADR3 (186:186:186)(186:186:186))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker87891)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2029:2029:2029)(2029:2029:2029))
          (PORT ADR1 (1625:1625:1625)(1625:1625:1625))
          (PORT ADR2 (1374:1374:1374)(1374:1374:1374))
          (PORT ADR3 (1590:1590:1590)(1590:1590:1590))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_0\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_0_7)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4586:4586:4586)(4586:4586:4586))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0020_I4_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1252:1252:1252)(1252:1252:1252))
          (PORT ADR2 (751:751:751)(751:751:751))
          (PORT ADR3 (2746:2746:2746)(2746:2746:2746))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0020_I3_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (905:905:905)(905:905:905))
          (PORT ADR1 (847:847:847)(847:847:847))
          (PORT ADR3 (3055:3055:3055)(3055:3055:3055))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_1\<4\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_1_4)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4293:4293:4293)(4293:4293:4293))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_1\<4\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_1_3)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4293:4293:4293)(4293:4293:4293))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0021_I6_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2561:2561:2561)(2561:2561:2561))
          (PORT ADR2 (743:743:743)(743:743:743))
          (PORT ADR3 (755:755:755)(755:755:755))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0021_I5_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2579:2579:2579)(2579:2579:2579))
          (PORT ADR1 (862:862:862)(862:862:862))
          (PORT ADR2 (909:909:909)(909:909:909))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0020_I2_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2731:2731:2731)(2731:2731:2731))
          (PORT ADR2 (475:475:475)(475:475:475))
          (PORT ADR3 (745:745:745)(745:745:745))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0020_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2749:2749:2749)(2749:2749:2749))
          (PORT ADR1 (1020:1020:1020)(1020:1020:1020))
          (PORT ADR3 (424:424:424)(424:424:424))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0021_I4_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (866:866:866)(866:866:866))
          (PORT ADR2 (2395:2395:2395)(2395:2395:2395))
          (PORT ADR3 (840:840:840)(840:840:840))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0021_I3_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (837:837:837)(837:837:837))
          (PORT ADR2 (2368:2368:2368)(2368:2368:2368))
          (PORT ADR3 (722:722:722)(722:722:722))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0020_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (908:908:908)(908:908:908))
          (PORT ADR3 (2382:2382:2382)(2382:2382:2382))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0021_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (2464:2464:2464)(2464:2464:2464))
          (PORT ADR3 (773:773:773)(773:773:773))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0021_I2_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (853:853:853)(853:853:853))
          (PORT ADR2 (475:475:475)(475:475:475))
          (PORT ADR3 (2127:2127:2127)(2127:2127:2127))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0021_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2183:2183:2183)(2183:2183:2183))
          (PORT ADR1 (581:581:581)(581:581:581))
          (PORT ADR3 (424:424:424)(424:424:424))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0022_I6_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2612:2612:2612)(2612:2612:2612))
          (PORT ADR2 (896:896:896)(896:896:896))
          (PORT ADR3 (737:737:737)(737:737:737))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0022_I5_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (934:934:934)(934:934:934))
          (PORT ADR1 (2593:2593:2593)(2593:2593:2593))
          (PORT ADR2 (730:730:730)(730:730:730))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0022_I4_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2720:2720:2720)(2720:2720:2720))
          (PORT ADR2 (751:751:751)(751:751:751))
          (PORT ADR3 (736:736:736)(736:736:736))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0022_I3_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (660:660:660)(660:660:660))
          (PORT ADR2 (1698:1698:1698)(1698:1698:1698))
          (PORT ADR3 (1008:1008:1008)(1008:1008:1008))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0022_I2_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (888:888:888)(888:888:888))
          (PORT ADR2 (2051:2051:2051)(2051:2051:2051))
          (PORT ADR3 (860:860:860)(860:860:860))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0022_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1741:1741:1741)(1741:1741:1741))
          (PORT ADR2 (451:451:451)(451:451:451))
          (PORT ADR3 (427:427:427)(427:427:427))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0023_I6_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1935:1935:1935)(1935:1935:1935))
          (PORT ADR1 (1256:1256:1256)(1256:1256:1256))
          (PORT ADR3 (745:745:745)(745:745:745))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0023_I5_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1027:1027:1027)(1027:1027:1027))
          (PORT ADR2 (448:448:448)(448:448:448))
          (PORT ADR3 (2154:2154:2154)(2154:2154:2154))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0023_I4_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2103:2103:2103)(2103:2103:2103))
          (PORT ADR2 (478:478:478)(478:478:478))
          (PORT ADR3 (736:736:736)(736:736:736))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0023_I3_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (660:660:660)(660:660:660))
          (PORT ADR1 (581:581:581)(581:581:581))
          (PORT ADR2 (1907:1907:1907)(1907:1907:1907))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0022_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2178:2178:2178)(2178:2178:2178))
          (PORT ADR2 (749:749:749)(749:749:749))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0023_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2233:2233:2233)(2233:2233:2233))
          (PORT ADR2 (448:448:448)(448:448:448))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0023_I2_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (866:866:866)(866:866:866))
          (PORT ADR2 (1334:1334:1334)(1334:1334:1334))
          (PORT ADR3 (736:736:736)(736:736:736))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0023_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (877:877:877)(877:877:877))
          (PORT ADR2 (1909:1909:1909)(1909:1909:1909))
          (PORT ADR3 (869:869:869)(869:869:869))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0024_I6_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1752:1752:1752)(1752:1752:1752))
          (PORT ADR2 (743:743:743)(743:743:743))
          (PORT ADR3 (463:463:463)(463:463:463))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0024_I5_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (912:912:912)(912:912:912))
          (PORT ADR1 (1734:1734:1734)(1734:1734:1734))
          (PORT ADR3 (698:698:698)(698:698:698))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0024_I4_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (876:876:876)(876:876:876))
          (PORT ADR2 (743:743:743)(743:743:743))
          (PORT ADR3 (1712:1712:1712)(1712:1712:1712))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0024_I3_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1859:1859:1859)(1859:1859:1859))
          (PORT ADR1 (1020:1020:1020)(1020:1020:1020))
          (PORT ADR2 (831:831:831)(831:831:831))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0024_I2_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1311:1311:1311)(1311:1311:1311))
          (PORT ADR2 (743:743:743)(743:743:743))
          (PORT ADR3 (745:745:745)(745:745:745))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0024_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (928:928:928)(928:928:928))
          (PORT ADR1 (1323:1323:1323)(1323:1323:1323))
          (PORT ADR3 (722:722:722)(722:722:722))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0025_I6_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (876:876:876)(876:876:876))
          (PORT ADR2 (752:752:752)(752:752:752))
          (PORT ADR3 (1661:1661:1661)(1661:1661:1661))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0025_I5_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1504:1504:1504)(1504:1504:1504))
          (PORT ADR2 (747:747:747)(747:747:747))
          (PORT ADR3 (836:836:836)(836:836:836))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0025_I4_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1891:1891:1891)(1891:1891:1891))
          (PORT ADR2 (743:743:743)(743:743:743))
          (PORT ADR3 (762:762:762)(762:762:762))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0025_I3_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2119:2119:2119)(2119:2119:2119))
          (PORT ADR2 (747:747:747)(747:747:747))
          (PORT ADR3 (1059:1059:1059)(1059:1059:1059))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_6\<4\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_6_4)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4554:4554:4554)(4554:4554:4554))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_6\<4\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_6_3)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4554:4554:4554)(4554:4554:4554))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0024_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1337:1337:1337)(1337:1337:1337))
          (PORT ADR2 (751:751:751)(751:751:751))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0025_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (660:660:660)(660:660:660))
          (PORT ADR3 (1291:1291:1291)(1291:1291:1291))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0025_I2_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2176:2176:2176)(2176:2176:2176))
          (PORT ADR2 (751:751:751)(751:751:751))
          (PORT ADR3 (820:820:820)(820:820:820))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0025_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1009:1009:1009)(1009:1009:1009))
          (PORT ADR1 (581:581:581)(581:581:581))
          (PORT ADR3 (1378:1378:1378)(1378:1378:1378))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_6\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_6_5)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4473:4473:4473)(4473:4473:4473))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_6\<6\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_6_6)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4473:4473:4473)(4473:4473:4473))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0026_I6_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (916:916:916)(916:916:916))
          (PORT ADR1 (866:866:866)(866:866:866))
          (PORT ADR2 (1908:1908:1908)(1908:1908:1908))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0026_I5_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (581:581:581)(581:581:581))
          (PORT ADR2 (1881:1881:1881)(1881:1881:1881))
          (PORT ADR3 (722:722:722)(722:722:722))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0026_I4_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (642:642:642)(642:642:642))
          (PORT ADR1 (1533:1533:1533)(1533:1533:1533))
          (PORT ADR2 (743:743:743)(743:743:743))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0026_I3_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1802:1802:1802)(1802:1802:1802))
          (PORT ADR2 (1250:1250:1250)(1250:1250:1250))
          (PORT ADR3 (1029:1029:1029)(1029:1029:1029))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_7\<4\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_7_3)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4616:4616:4616)(4616:4616:4616))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_7\<4\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_7_4)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4616:4616:4616)(4616:4616:4616))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0026_I2_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1453:1453:1453)(1453:1453:1453))
          (PORT ADR2 (743:743:743)(743:743:743))
          (PORT ADR3 (620:620:620)(620:620:620))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0026_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1071:1071:1071)(1071:1071:1071))
          (PORT ADR1 (747:747:747)(747:747:747))
          (PORT ADR3 (725:725:725)(725:725:725))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_7\<7\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0026_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (475:475:475)(475:475:475))
          (PORT ADR3 (186:186:186)(186:186:186))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker87891_1_80)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1175:1175:1175)(1175:1175:1175))
          (PORT ADR1 (1422:1422:1422)(1422:1422:1422))
          (PORT ADR2 (1124:1124:1124)(1124:1124:1124))
          (PORT ADR3 (1360:1360:1360)(1360:1360:1360))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0046_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (904:904:904)(904:904:904))
          (PORT ADR2 (787:787:787)(787:787:787))
          (PORT ADR3 (610:610:610)(610:610:610))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0051_I8_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (980:980:980)(980:980:980))
          (PORT ADR1 (2434:2434:2434)(2434:2434:2434))
          (PORT ADR2 (1880:1880:1880)(1880:1880:1880))
          (PORT ADR3 (2964:2964:2964)(2964:2964:2964))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE ctrl_out_reg\<1\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0046_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (528:528:528)(528:528:528))
          (PORT ADR2 (805:805:805)(805:805:805))
          (PORT ADR3 (186:186:186)(186:186:186))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker86801)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1474:1474:1474)(1474:1474:1474))
          (PORT ADR2 (2341:2341:2341)(2341:2341:2341))
          (PORT ADR3 (1739:1739:1739)(1739:1739:1739))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_0_0_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4768:4768:4768)(4768:4768:4768))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_0_0_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_0_Mmux__n0001_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (3866:3866:3866)(3866:3866:3866))
          (PORT ADR2 (817:817:817)(817:817:817))
          (PORT ADR3 (1779:1779:1779)(1779:1779:1779))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_0_0__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (3847:3847:3847)(3847:3847:3847))
          (PORT ADR3 (1740:1740:1740)(1740:1740:1740))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_0_1_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4785:4785:4785)(4785:4785:4785))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_0_1_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_0_Mmux__n0001_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (961:961:961)(961:961:961))
          (PORT ADR1 (3478:3478:3478)(3478:3478:3478))
          (PORT ADR2 (586:586:586)(586:586:586))
          (PORT ADR3 (186:186:186)(186:186:186))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker86741)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (1504:1504:1504)(1504:1504:1504))
          (PORT ADR3 (1588:1588:1588)(1588:1588:1588))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_1_0_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4640:4640:4640)(4640:4640:4640))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_1_0_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_1_Mmux__n0001_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (3451:3451:3451)(3451:3451:3451))
          (PORT ADR1 (1025:1025:1025)(1025:1025:1025))
          (PORT ADR3 (846:846:846)(846:846:846))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_1_0__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (3469:3469:3469)(3469:3469:3469))
          (PORT ADR1 (1013:1013:1013)(1013:1013:1013))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_1_1_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4725:4725:4725)(4725:4725:4725))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_1_1_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_1_Mmux__n0001_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (977:977:977)(977:977:977))
          (PORT ADR1 (704:704:704)(704:704:704))
          (PORT ADR2 (3709:3709:3709)(3709:3709:3709))
          (PORT ADR3 (186:186:186)(186:186:186))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker86681)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (837:837:837)(837:837:837))
          (PORT ADR2 (917:917:917)(917:917:917))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_2_0_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4759:4759:4759)(4759:4759:4759))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_2_0_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_2_Mmux__n0001_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (3802:3802:3802)(3802:3802:3802))
          (PORT ADR2 (1555:1555:1555)(1555:1555:1555))
          (PORT ADR3 (848:848:848)(848:848:848))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_2_0__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (3820:3820:3820)(3820:3820:3820))
          (PORT ADR2 (1528:1528:1528)(1528:1528:1528))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_2_1_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4759:4759:4759)(4759:4759:4759))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_2_1_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_2_Mmux__n0001_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (952:952:952)(952:952:952))
          (PORT ADR1 (3597:3597:3597)(3597:3597:3597))
          (PORT ADR2 (861:861:861)(861:861:861))
          (PORT ADR3 (186:186:186)(186:186:186))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker86621)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1594:1594:1594)(1594:1594:1594))
          (PORT ADR1 (1661:1661:1661)(1661:1661:1661))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_3_0_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4730:4730:4730)(4730:4730:4730))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_3_0_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_3_Mmux__n0001_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1297:1297:1297)(1297:1297:1297))
          (PORT ADR2 (3604:3604:3604)(3604:3604:3604))
          (PORT ADR3 (773:773:773)(773:773:773))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_3_0__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1271:1271:1271)(1271:1271:1271))
          (PORT ADR2 (3683:3683:3683)(3683:3683:3683))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_3_1_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4707:4707:4707)(4707:4707:4707))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_3_1_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_3_Mmux__n0001_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (3660:3660:3660)(3660:3660:3660))
          (PORT ADR1 (926:926:926)(926:926:926))
          (PORT ADR2 (569:569:569)(569:569:569))
          (PORT ADR3 (186:186:186)(186:186:186))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker86561)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (1086:1086:1086)(1086:1086:1086))
          (PORT ADR3 (980:980:980)(980:980:980))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_4_0_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4582:4582:4582)(4582:4582:4582))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_4_0_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_4_Mmux__n0001_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1157:1157:1157)(1157:1157:1157))
          (PORT ADR1 (965:965:965)(965:965:965))
          (PORT ADR2 (3169:3169:3169)(3169:3169:3169))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_4_0__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (3142:3142:3142)(3142:3142:3142))
          (PORT ADR3 (934:934:934)(934:934:934))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_4_1_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4582:4582:4582)(4582:4582:4582))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_4_1_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_4_Mmux__n0001_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (3441:3441:3441)(3441:3441:3441))
          (PORT ADR1 (941:941:941)(941:941:941))
          (PORT ADR2 (814:814:814)(814:814:814))
          (PORT ADR3 (186:186:186)(186:186:186))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker86501)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1066:1066:1066)(1066:1066:1066))
          (PORT ADR3 (859:859:859)(859:859:859))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_5_0_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4640:4640:4640)(4640:4640:4640))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_5_0_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_5_Mmux__n0001_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (3546:3546:3546)(3546:3546:3546))
          (PORT ADR2 (1324:1324:1324)(1324:1324:1324))
          (PORT ADR3 (858:858:858)(858:858:858))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_5_0__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1428:1428:1428)(1428:1428:1428))
          (PORT ADR3 (3302:3302:3302)(3302:3302:3302))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_5_1_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4635:4635:4635)(4635:4635:4635))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_5_1_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_5_Mmux__n0001_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (3427:3427:3427)(3427:3427:3427))
          (PORT ADR1 (988:988:988)(988:988:988))
          (PORT ADR2 (824:824:824)(824:824:824))
          (PORT ADR3 (186:186:186)(186:186:186))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker86441)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (951:951:951)(951:951:951))
          (PORT ADR3 (1079:1079:1079)(1079:1079:1079))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_5_1_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (843:843:843)(843:843:843))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_5_1_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1045:1045:1045)(1045:1045:1045))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_5_1_0_81)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (563:563:563)(563:563:563))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE mem_page_sel\/SRMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (1679:1679:1679)(1679:1679:1679))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mem_page_sel\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE mem_page_sel\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n02341)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (773:773:773)(773:773:773))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE mem_page_sel\/F)
      (DELAY
        (ABSOLUTE
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_6_0_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4857:4857:4857)(4857:4857:4857))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_6_0_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_6_Mmux__n0001_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1282:1282:1282)(1282:1282:1282))
          (PORT ADR2 (2531:2531:2531)(2531:2531:2531))
          (PORT ADR3 (861:861:861)(861:861:861))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_6_0__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1209:1209:1209)(1209:1209:1209))
          (PORT ADR2 (3231:3231:3231)(3231:3231:3231))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_6_1_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4883:4883:4883)(4883:4883:4883))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_6_1_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_6_Mmux__n0001_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1008:1008:1008)(1008:1008:1008))
          (PORT ADR1 (935:935:935)(935:935:935))
          (PORT ADR2 (2822:2822:2822)(2822:2822:2822))
          (PORT ADR3 (186:186:186)(186:186:186))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker86381)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1022:1022:1022)(1022:1022:1022))
          (PORT ADR3 (1000:1000:1000)(1000:1000:1000))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_6_1_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (840:840:840)(840:840:840))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_6_1_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1066:1066:1066)(1066:1066:1066))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_6_1_0_82)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (569:569:569)(569:569:569))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_7_0_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4677:4677:4677)(4677:4677:4677))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_7_0_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_7_Mmux__n0001_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1981:1981:1981)(1981:1981:1981))
          (PORT ADR2 (980:980:980)(980:980:980))
          (PORT ADR3 (1997:1997:1997)(1997:1997:1997))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_7_0__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1962:1962:1962)(1962:1962:1962))
          (PORT ADR3 (1709:1709:1709)(1709:1709:1709))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_delay_cnt_7_1_0\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4677:4677:4677)(4677:4677:4677))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_7_1_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_7_Mmux__n0001_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2002:2002:2002)(2002:2002:2002))
          (PORT ADR1 (891:891:891)(891:891:891))
          (PORT ADR2 (817:817:817)(817:817:817))
          (PORT ADR3 (186:186:186)(186:186:186))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker86321)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1945:1945:1945)(1945:1945:1945))
          (PORT ADR2 (3207:3207:3207)(3207:3207:3207))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE N8954\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE N8954\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker8737)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1135:1135:1135)(1135:1135:1135))
          (PORT ADR1 (917:917:917)(917:917:917))
          (PORT ADR2 (801:801:801)(801:801:801))
          (PORT ADR3 (186:186:186)(186:186:186))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker8737_SW0)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (993:993:993)(993:993:993))
          (PORT ADR1 (906:906:906)(906:906:906))
          (PORT ADR3 (1501:1501:1501)(1501:1501:1501))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE N8728\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE N8728\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00381)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2177:2177:2177)(2177:2177:2177))
          (PORT ADR1 (1955:1955:1955)(1955:1955:1955))
          (PORT ADR2 (4621:4621:4621)(4621:4621:4621))
          (PORT ADR3 (186:186:186)(186:186:186))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Ker87261)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1915:1915:1915)(1915:1915:1915))
          (PORT ADR1 (1610:1610:1610)(1610:1610:1610))
          (PORT ADR2 (1164:1164:1164)(1164:1164:1164))
          (PORT ADR3 (1432:1432:1432)(1432:1432:1432))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0028\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0028\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00301)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2027:2027:2027)(2027:2027:2027))
          (PORT ADR1 (1713:1713:1713)(1713:1713:1713))
          (PORT ADR2 (1403:1403:1403)(1403:1403:1403))
          (PORT ADR3 (1629:1629:1629)(1629:1629:1629))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00281)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2045:2045:2045)(2045:2045:2045))
          (PORT ADR1 (1610:1610:1610)(1610:1610:1610))
          (PORT ADR2 (1640:1640:1640)(1640:1640:1640))
          (PORT ADR3 (1590:1590:1590)(1590:1590:1590))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0039\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0039\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00401)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2382:2382:2382)(2382:2382:2382))
          (PORT ADR1 (922:922:922)(922:922:922))
          (PORT ADR2 (4478:4478:4478)(4478:4478:4478))
          (PORT ADR3 (2536:2536:2536)(2536:2536:2536))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00391)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (4604:4604:4604)(4604:4604:4604))
          (PORT ADR1 (2928:2928:2928)(2928:2928:2928))
          (PORT ADR2 (2457:2457:2457)(2457:2457:2457))
          (PORT ADR3 (684:684:684)(684:684:684))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0029\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0029\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00331)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1808:1808:1808)(1808:1808:1808))
          (PORT ADR1 (1528:1528:1528)(1528:1528:1528))
          (PORT ADR2 (1588:1588:1588)(1588:1588:1588))
          (PORT ADR3 (1848:1848:1848)(1848:1848:1848))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00291)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1826:1826:1826)(1826:1826:1826))
          (PORT ADR1 (1509:1509:1509)(1509:1509:1509))
          (PORT ADR2 (1561:1561:1561)(1561:1561:1561))
          (PORT ADR3 (1809:1809:1809)(1809:1809:1809))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0042\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0042\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00411)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2504:2504:2504)(2504:2504:2504))
          (PORT ADR1 (2898:2898:2898)(2898:2898:2898))
          (PORT ADR2 (1332:1332:1332)(1332:1332:1332))
          (PORT ADR3 (3902:3902:3902)(3902:3902:3902))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00421)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2522:2522:2522)(2522:2522:2522))
          (PORT ADR1 (1289:1289:1289)(1289:1289:1289))
          (PORT ADR2 (3869:3869:3869)(3869:3869:3869))
          (PORT ADR3 (2499:2499:2499)(2499:2499:2499))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0027\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0027\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00341)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1630:1630:1630)(1630:1630:1630))
          (PORT ADR1 (1533:1533:1533)(1533:1533:1533))
          (PORT ADR2 (1519:1519:1519)(1519:1519:1519))
          (PORT ADR3 (1541:1541:1541)(1541:1541:1541))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00271)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1819:1819:1819)(1819:1819:1819))
          (PORT ADR1 (1917:1917:1917)(1917:1917:1917))
          (PORT ADR2 (1492:1492:1492)(1492:1492:1492))
          (PORT ADR3 (1351:1351:1351)(1351:1351:1351))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0044\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0044\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00431)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2747:2747:2747)(2747:2747:2747))
          (PORT ADR1 (897:897:897)(897:897:897))
          (PORT ADR2 (2822:2822:2822)(2822:2822:2822))
          (PORT ADR3 (4407:4407:4407)(4407:4407:4407))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00441)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (934:934:934)(934:934:934))
          (PORT ADR1 (2590:2590:2590)(2590:2590:2590))
          (PORT ADR2 (2795:2795:2795)(2795:2795:2795))
          (PORT ADR3 (4368:4368:4368)(4368:4368:4368))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0045\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00451)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1637:1637:1637)(1637:1637:1637))
          (PORT ADR1 (4242:4242:4242)(4242:4242:4242))
          (PORT ADR2 (1978:1978:1978)(1978:1978:1978))
          (PORT ADR3 (2405:2405:2405)(2405:2405:2405))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _COND_1\<2\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _COND_1\<2\>\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n00541)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1802:1802:1802)(1802:1802:1802))
          (PORT ADR1 (1667:1667:1667)(1667:1667:1667))
          (PORT ADR3 (1655:1655:1655)(1655:1655:1655))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Madd__n0076_Mxor_Result\<1\>_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1648:1648:1648)(1648:1648:1648))
          (PORT ADR2 (1608:1608:1608)(1608:1608:1608))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0230\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n02301)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (717:717:717)(717:717:717))
          (PORT ADR1 (700:700:700)(700:700:700))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0231\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n02311)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (817:817:817)(817:817:817))
          (PORT ADR3 (863:863:863)(863:863:863))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0232\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n02321)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (948:948:948)(948:948:948))
          (PORT ADR3 (811:811:811)(811:811:811))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0225\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n02251)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (717:717:717)(717:717:717))
          (PORT ADR2 (826:826:826)(826:826:826))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0233\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n02331)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (550:550:550)(550:550:550))
          (PORT ADR3 (583:583:583)(583:583:583))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0227\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n02271)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (675:675:675)(675:675:675))
          (PORT ADR3 (868:868:868)(868:868:868))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0228\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n02281)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (754:754:754)(754:754:754))
          (PORT ADR1 (673:673:673)(673:673:673))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE _n0229\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE _n02291)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (694:694:694)(694:694:694))
          (PORT ADR2 (826:826:826)(826:826:826))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE div_reg\/SRMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (2499:2499:2499)(2499:2499:2499))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE div_reg\/BYMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (866:866:866)(866:866:866))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_0\<1\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4731:4731:4731)(4731:4731:4731))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_0\<3\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4654:4654:4654)(4654:4654:4654))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_0\<5\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4754:4754:4754)(4754:4754:4754))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_1\<1\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4826:4826:4826)(4826:4826:4826))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_1\<3\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4802:4802:4802)(4802:4802:4802))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_0\<6\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4684:4684:4684)(4684:4684:4684))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_1\<5\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4736:4736:4736)(4736:4736:4736))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_2\<1\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4704:4704:4704)(4704:4704:4704))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_1\<6\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4742:4742:4742)(4742:4742:4742))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_2\<3\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4567:4567:4567)(4567:4567:4567))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_2\<5\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4669:4669:4669)(4669:4669:4669))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_3\<1\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4861:4861:4861)(4861:4861:4861))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_2\<6\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4625:4625:4625)(4625:4625:4625))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_3\<3\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4831:4831:4831)(4831:4831:4831))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_3\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_3_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1051:1051:1051)(1051:1051:1051))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_3\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_3_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1370:1370:1370)(1370:1370:1370))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_3\<5\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4629:4629:4629)(4629:4629:4629))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_4\<1\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4769:4769:4769)(4769:4769:4769))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_3\<6\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4572:4572:4572)(4572:4572:4572))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_3\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_3_6)
      (DELAY
        (ABSOLUTE
          (PORT I (1747:1747:1747)(1747:1747:1747))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_4\<3\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4766:4766:4766)(4766:4766:4766))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_4\<5\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4784:4784:4784)(4784:4784:4784))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_4\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_4_5)
      (DELAY
        (ABSOLUTE
          (PORT I (1514:1514:1514)(1514:1514:1514))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_4\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_4_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_5\<1\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4891:4891:4891)(4891:4891:4891))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_4\<6\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4622:4622:4622)(4622:4622:4622))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_5\<3\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4827:4827:4827)(4827:4827:4827))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_5\<5\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4534:4534:4534)(4534:4534:4534))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_6\<1\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4753:4753:4753)(4753:4753:4753))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_5\<6\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4572:4572:4572)(4572:4572:4572))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_6\<3\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4567:4567:4567)(4567:4567:4567))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_7\<1\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4900:4900:4900)(4900:4900:4900))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_6\<5\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4772:4772:4772)(4772:4772:4772))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_6\<6\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4770:4770:4770)(4770:4770:4770))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_7\<3\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4622:4622:4622)(4622:4622:4622))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_7\<5\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (3009:3009:3009)(3009:3009:3009))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE rx_shift_reg_7\<6\>\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (4672:4672:4672)(4672:4672:4672))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE ram_en\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0051_I2_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2122:2122:2122)(2122:2122:2122))
          (PORT ADR1 (2803:2803:2803)(2803:2803:2803))
          (PORT ADR2 (2422:2422:2422)(2422:2422:2422))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE ram_en1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2817:2817:2817)(2817:2817:2817))
          (PORT ADR2 (1902:1902:1902)(1902:1902:1902))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0051_I6_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2069:2069:2069)(2069:2069:2069))
          (PORT ADR1 (2771:2771:2771)(2771:2771:2771))
          (PORT ADR2 (2344:2344:2344)(2344:2344:2344))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0051_I3_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (3015:3015:3015)(3015:3015:3015))
          (PORT ADR1 (1967:1967:1967)(1967:1967:1967))
          (PORT ADR2 (2317:2317:2317)(2317:2317:2317))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0020_I7_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1033:1033:1033)(1033:1033:1033))
          (PORT ADR2 (1997:1997:1997)(1997:1997:1997))
          (PORT ADR3 (881:881:881)(881:881:881))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0019_I7_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (847:847:847)(847:847:847))
          (PORT ADR2 (1970:1970:1970)(1970:1970:1970))
          (PORT ADR3 (1158:1158:1158)(1158:1158:1158))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0021_I7_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (642:642:642)(642:642:642))
          (PORT ADR1 (1793:1793:1793)(1793:1793:1793))
          (PORT ADR3 (874:874:874)(874:874:874))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0022_I7_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1009:1009:1009)(1009:1009:1009))
          (PORT ADR1 (1200:1200:1200)(1200:1200:1200))
          (PORT ADR3 (2061:2061:2061)(2061:2061:2061))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0023_I7_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2372:2372:2372)(2372:2372:2372))
          (PORT ADR2 (751:751:751)(751:751:751))
          (PORT ADR3 (1081:1081:1081)(1081:1081:1081))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0024_I7_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2390:2390:2390)(2390:2390:2390))
          (PORT ADR2 (1028:1028:1028)(1028:1028:1028))
          (PORT ADR3 (1104:1104:1104)(1104:1104:1104))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0025_I7_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (642:642:642)(642:642:642))
          (PORT ADR1 (1049:1049:1049)(1049:1049:1049))
          (PORT ADR3 (1427:1427:1427)(1427:1427:1427))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0026_I7_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2021:2021:2021)(2021:2021:2021))
          (PORT ADR2 (724:724:724)(724:724:724))
          (PORT ADR3 (685:685:685)(685:685:685))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0051_I7_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1957:1957:1957)(1957:1957:1957))
          (PORT ADR1 (3149:3149:3149)(3149:3149:3149))
          (PORT ADR2 (2328:2328:2328)(2328:2328:2328))
          (PORT ADR3 (799:799:799)(799:799:799))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0051_I4_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (3069:3069:3069)(3069:3069:3069))
          (PORT ADR2 (2301:2301:2301)(2301:2301:2301))
          (PORT ADR3 (1642:1642:1642)(1642:1642:1642))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE div_reg_2\/SRMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (4131:4131:4131)(4131:4131:4131))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE div_reg_2\/BYMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (950:950:950)(950:950:950))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE div_reg_2\/BXMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (1006:1006:1006)(1006:1006:1006))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_0_0_1__n0000\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_0_0__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1958:1958:1958)(1958:1958:1958))
          (PORT ADR2 (3741:3741:3741)(3741:3741:3741))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_0_1__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1916:1916:1916)(1916:1916:1916))
          (PORT ADR2 (3714:3714:3714)(3714:3714:3714))
          (PORT ADR3 (1517:1517:1517)(1517:1517:1517))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_1_0_1__n0000\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_1_0__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1098:1098:1098)(1098:1098:1098))
          (PORT ADR3 (3433:3433:3433)(3433:3433:3433))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_1_1__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1225:1225:1225)(1225:1225:1225))
          (PORT ADR1 (1108:1108:1108)(1108:1108:1108))
          (PORT ADR3 (3394:3394:3394)(3394:3394:3394))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE d_mem_addr\<0\>\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Madd_d_mem_low_addr__n00041)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (1622:1622:1622)(1622:1622:1622))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_2_0_1__n0000\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_2_0__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1227:1227:1227)(1227:1227:1227))
          (PORT ADR2 (3353:3353:3353)(3353:3353:3353))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_2_1__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (3509:3509:3509)(3509:3509:3509))
          (PORT ADR1 (1029:1029:1029)(1029:1029:1029))
          (PORT ADR2 (1056:1056:1056)(1056:1056:1056))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_2\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_2_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1035:1035:1035)(1035:1035:1035))
          (PORT CE (1406:1406:1406)(1406:1406:1406))
          (PORT CLK (4989:4989:4989)(4989:4989:4989))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_2\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_2_5)
      (DELAY
        (ABSOLUTE
          (PORT I (1077:1077:1077)(1077:1077:1077))
          (PORT CE (1406:1406:1406)(1406:1406:1406))
          (PORT CLK (4989:4989:4989)(4989:4989:4989))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_3\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_3_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1245:1245:1245)(1245:1245:1245))
          (PORT CE (921:921:921)(921:921:921))
          (PORT CLK (4847:4847:4847)(4847:4847:4847))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_3\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_3_3)
      (DELAY
        (ABSOLUTE
          (PORT I (980:980:980)(980:980:980))
          (PORT CE (921:921:921)(921:921:921))
          (PORT CLK (4847:4847:4847)(4847:4847:4847))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_3\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_3_7)
      (DELAY
        (ABSOLUTE
          (PORT I (1677:1677:1677)(1677:1677:1677))
          (PORT CE (1378:1378:1378)(1378:1378:1378))
          (PORT CLK (4777:4777:4777)(4777:4777:4777))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_4\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_4_5)
      (DELAY
        (ABSOLUTE
          (PORT I (1084:1084:1084)(1084:1084:1084))
          (PORT CE (1470:1470:1470)(1470:1470:1470))
          (PORT CLK (4873:4873:4873)(4873:4873:4873))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_3_0_1__n0000\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_3_0__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1513:1513:1513)(1513:1513:1513))
          (PORT ADR3 (3448:3448:3448)(3448:3448:3448))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_3_1__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1458:1458:1458)(1458:1458:1458))
          (PORT ADR2 (1103:1103:1103)(1103:1103:1103))
          (PORT ADR3 (3409:3409:3409)(3409:3409:3409))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_4_0_1__n0000\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_4_0__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1067:1067:1067)(1067:1067:1067))
          (PORT ADR2 (2724:2724:2724)(2724:2724:2724))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_4_1__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1054:1054:1054)(1054:1054:1054))
          (PORT ADR2 (2697:2697:2697)(2697:2697:2697))
          (PORT ADR3 (892:892:892)(892:892:892))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_5_0_1__n0000\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_5_0__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1369:1369:1369)(1369:1369:1369))
          (PORT ADR2 (3421:3421:3421)(3421:3421:3421))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_5_1__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1425:1425:1425)(1425:1425:1425))
          (PORT ADR1 (1340:1340:1340)(1340:1340:1340))
          (PORT ADR2 (3394:3394:3394)(3394:3394:3394))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_6_0_1__n0000\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_6_0__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1143:1143:1143)(1143:1143:1143))
          (PORT ADR1 (2947:2947:2947)(2947:2947:2947))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_6_1__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2951:2951:2951)(2951:2951:2951))
          (PORT ADR2 (855:855:855)(855:855:855))
          (PORT ADR3 (892:892:892)(892:892:892))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_7_0_1__n0000\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_7_0__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2236:2236:2236)(2236:2236:2236))
          (PORT ADR2 (1702:1702:1702)(1702:1702:1702))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_7_1__n00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2230:2230:2230)(2230:2230:2230))
          (PORT ADR2 (3241:3241:3241)(3241:3241:3241))
          (PORT ADR3 (1754:1754:1754)(1754:1754:1754))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0051_I0_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1946:1946:1946)(1946:1946:1946))
          (PORT ADR2 (2595:2595:2595)(2595:2595:2595))
          (PORT ADR3 (2281:2281:2281)(2281:2281:2281))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0051_I5_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1927:1927:1927)(1927:1927:1927))
          (PORT ADR2 (2315:2315:2315)(2315:2315:2315))
          (PORT ADR3 (2149:2149:2149)(2149:2149:2149))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_cnt_1_1\/SRMUX)
      (DELAY
        (ABSOLUTE
          (PORT I (3651:3651:3651)(3651:3651:3651))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE frame_cnt_1_1\/CKINV)
      (DELAY
        (ABSOLUTE
          (PORT I (392:392:392)(392:392:392))
          (IOPATH I O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_cnt_1_1\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_cnt_1_1\/F)
      (DELAY
        (ABSOLUTE
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_0_1__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1390:1390:1390)(1390:1390:1390))
          (PORT ADR1 (3834:3834:3834)(3834:3834:3834))
          (PORT ADR2 (1358:1358:1358)(1358:1358:1358))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_1_1__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1226:1226:1226)(1226:1226:1226))
          (PORT ADR1 (3815:3815:3815)(3815:3815:3815))
          (PORT ADR3 (601:601:601)(601:601:601))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_2\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_2_6)
      (DELAY
        (ABSOLUTE
          (PORT I (1884:1884:1884)(1884:1884:1884))
          (PORT CE (1360:1360:1360)(1360:1360:1360))
          (PORT CLK (381:381:381)(381:381:381))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_3\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_3_4)
      (DELAY
        (ABSOLUTE
          (PORT I (2533:2533:2533)(2533:2533:2533))
          (PORT CE (1412:1412:1412)(1412:1412:1412))
          (PORT CLK (382:382:382)(382:382:382))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_2_1__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (3605:3605:3605)(3605:3605:3605))
          (PORT ADR2 (982:982:982)(982:982:982))
          (PORT ADR3 (1388:1388:1388)(1388:1388:1388))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_3_1__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1153:1153:1153)(1153:1153:1153))
          (PORT ADR2 (3487:3487:3487)(3487:3487:3487))
          (PORT ADR3 (891:891:891)(891:891:891))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_SFF")
    (INSTANCE c_mem_addr_cnt_2)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (1951:1951:1951)(1951:1951:1951))
          (PORT CLK (5002:5002:5002)(5002:5002:5002))
          (PORT SET (8725:8725:8725)(8725:8725:8725))
          (PORT RST (8725:8725:8725)(8725:8725:8725))
          (PORT SRST (1994:1994:1994)(1994:1994:1994))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (1085:1085:1085)(1085:1085:1085))
          (IOPATH RST O (1085:1085:1085)(1085:1085:1085))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (SETUPHOLD (posedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SSET) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge SRST) (posedge CLK) (478:478:478) (0:0:0))
        (WIDTH (posedge SET) (15652:15652:15652))
        (WIDTH (posedge RST) (15652:15652:15652))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_4_1__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (996:996:996)(996:996:996))
          (PORT ADR1 (1041:1041:1041)(1041:1041:1041))
          (PORT ADR3 (2953:2953:2953)(2953:2953:2953))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_5_1__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1398:1398:1398)(1398:1398:1398))
          (PORT ADR2 (1347:1347:1347)(1347:1347:1347))
          (PORT ADR3 (3047:3047:3047)(3047:3047:3047))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_cnt\<2\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_cnt_3)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_6_1__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (3015:3015:3015)(3015:3015:3015))
          (PORT ADR1 (956:956:956)(956:956:956))
          (PORT ADR3 (782:782:782)(782:782:782))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE frame_delay_cnt_7_1__n00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2100:2100:2100)(2100:2100:2100))
          (PORT ADR1 (2929:2929:2929)(2929:2929:2929))
          (PORT ADR3 (3125:3125:3125)(3125:3125:3125))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Mmux__n0051_I1_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2783:2783:2783)(2783:2783:2783))
          (PORT ADR1 (2281:2281:2281)(2281:2281:2281))
          (PORT ADR3 (2078:2078:2078)(2078:2078:2078))
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_1\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_1_5)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4293:4293:4293)(4293:4293:4293))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_2\<4\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_2_4)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4531:4531:4531)(4531:4531:4531))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_2\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_2_7)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4698:4698:4698)(4698:4698:4698))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_2\<2\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_2_1)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4492:4492:4492)(4492:4492:4492))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_2\<2\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_2_2)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4492:4492:4492)(4492:4492:4492))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_1\<6\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_1_6)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4293:4293:4293)(4293:4293:4293))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_3\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_3_5)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4560:4560:4560)(4560:4560:4560))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_2\<4\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_2_3)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4531:4531:4531)(4531:4531:4531))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_2\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_1_7)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4698:4698:4698)(4698:4698:4698))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_2\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_2_5)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4531:4531:4531)(4531:4531:4531))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_2\<6\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_2_6)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4531:4531:4531)(4531:4531:4531))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_3\<2\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_3_1)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4501:4501:4501)(4501:4501:4501))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_3\<2\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_3_2)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4501:4501:4501)(4501:4501:4501))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_4\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_3_7)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4557:4557:4557)(4557:4557:4557))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_5\<2\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_5_1)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4562:4562:4562)(4562:4562:4562))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_3\<4\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_3_4)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4550:4550:4550)(4550:4550:4550))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_3\<4\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_3_3)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4550:4550:4550)(4550:4550:4550))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_4\<2\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_4_1)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4578:4578:4578)(4578:4578:4578))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_3\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_3_6)
      (DELAY
        (ABSOLUTE
          (PORT I (1021:1021:1021)(1021:1021:1021))
          (PORT CE (1378:1378:1378)(1378:1378:1378))
          (PORT CLK (4777:4777:4777)(4777:4777:4777))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_3\<6\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_3_6)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4560:4560:4560)(4560:4560:4560))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_4\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_4_5)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4565:4565:4565)(4565:4565:4565))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_4\<2\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_4_2)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4578:4578:4578)(4578:4578:4578))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_4\<4\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_4_3)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4534:4534:4534)(4534:4534:4534))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_4\<4\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_4_4)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4534:4534:4534)(4534:4534:4534))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_5\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_5_5)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4508:4508:4508)(4508:4508:4508))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_4\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_4_7)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4557:4557:4557)(4557:4557:4557))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_4\<6\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_4_6)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4565:4565:4565)(4565:4565:4565))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_5\<2\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_5_2)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4562:4562:4562)(4562:4562:4562))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_7\<2\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_7_1)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4483:4483:4483)(4483:4483:4483))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_7\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_7_5)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (3000:3000:3000)(3000:3000:3000))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_5\<4\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_5_4)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4534:4534:4534)(4534:4534:4534))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_5\<4\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_5_3)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4534:4534:4534)(4534:4534:4534))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_6\<2\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_6_1)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4569:4569:4569)(4569:4569:4569))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_5\<6\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_5_6)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4508:4508:4508)(4508:4508:4508))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_6\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_5_7)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4508:4508:4508)(4508:4508:4508))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_4\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_4_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1989:1989:1989)(1989:1989:1989))
          (PORT CE (1298:1298:1298)(1298:1298:1298))
          (PORT CLK (377:377:377)(377:377:377))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_6\<2\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_6_2)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4569:4569:4569)(4569:4569:4569))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_6\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_6_7)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4508:4508:4508)(4508:4508:4508))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_7_1_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (847:847:847)(847:847:847))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_7_1_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1395:1395:1395)(1395:1395:1395))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_7_1_0_83)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (729:729:729)(729:729:729))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_7\<6\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_7_6)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (3000:3000:3000)(3000:3000:3000))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_7\<2\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_7_2)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4483:4483:4483)(4483:4483:4483))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE mem_page_sel\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE mem_page_sel_84)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (1446:1446:1446)(1446:1446:1446))
          (PORT CLK (5000:5000:5000)(5000:5000:5000))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_2\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_2_7)
      (DELAY
        (ABSOLUTE
          (PORT I (2240:2240:2240)(2240:2240:2240))
          (PORT CE (1360:1360:1360)(1360:1360:1360))
          (PORT CLK (381:381:381)(381:381:381))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_4\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_4_6)
      (DELAY
        (ABSOLUTE
          (PORT I (2303:2303:2303)(2303:2303:2303))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE ctrl_out_reg\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE ctrl_out_reg_1)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (382:382:382)(382:382:382))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_5\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_5_5)
      (DELAY
        (ABSOLUTE
          (PORT I (1317:1317:1317)(1317:1317:1317))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_shift_reg_7\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_shift_reg_7_7)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (4394:4394:4394)(4394:4394:4394))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE ctrl_out_reg\<0\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE ctrl_out_reg_0)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CLK (451:451:451)(451:451:451))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_0_0_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (840:840:840)(840:840:840))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_0_0_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1051:1051:1051)(1051:1051:1051))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_0_0_0_85)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (679:679:679)(679:679:679))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_0_1_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (825:825:825)(825:825:825))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_0_1_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1253:1253:1253)(1253:1253:1253))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_0_1_0_86)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (571:571:571)(571:571:571))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_1_0_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (865:865:865)(865:865:865))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_1_0_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1191:1191:1191)(1191:1191:1191))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_1_0_0_87)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (906:906:906)(906:906:906))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_4\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_4_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (PORT CE (1470:1470:1470)(1470:1470:1470))
          (PORT CLK (4873:4873:4873)(4873:4873:4873))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_6_0_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (840:840:840)(840:840:840))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_6_0_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1076:1076:1076)(1076:1076:1076))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_6_0_0_88)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (571:571:571)(571:571:571))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_1_1_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (825:825:825)(825:825:825))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_1_1_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1051:1051:1051)(1051:1051:1051))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_1_1_0_89)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (705:705:705)(705:705:705))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_2_0_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (840:840:840)(840:840:840))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_2_0_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1195:1195:1195)(1195:1195:1195))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_2_0_0_90)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (708:708:708)(708:708:708))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_2_1_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (956:956:956)(956:956:956))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_2_1_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1238:1238:1238)(1238:1238:1238))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_2_1_0_91)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (729:729:729)(729:729:729))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_3_0_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (865:865:865)(865:865:865))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_3_0_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1076:1076:1076)(1076:1076:1076))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_3_0_0_92)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (728:728:728)(728:728:728))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_3_1_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (824:824:824)(824:824:824))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_3_1_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1039:1039:1039)(1039:1039:1039))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_3_1_0_93)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (563:563:563)(563:563:563))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_4_0_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (865:865:865)(865:865:865))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_4_0_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1078:1078:1078)(1078:1078:1078))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_4_0_0_94)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (688:688:688)(688:688:688))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_4_1_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (850:850:850)(850:850:850))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_4_1_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1053:1053:1053)(1053:1053:1053))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_4_1_0_95)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (728:728:728)(728:728:728))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_3\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_3_5)
      (DELAY
        (ABSOLUTE
          (PORT I (1504:1504:1504)(1504:1504:1504))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_5\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_5_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1023:1023:1023)(1023:1023:1023))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_5_0_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (865:865:865)(865:865:865))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_5_0_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1051:1051:1051)(1051:1051:1051))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_5_0_0_96)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (576:576:576)(576:576:576))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_3\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_3_5)
      (DELAY
        (ABSOLUTE
          (PORT I (2521:2521:2521)(2521:2521:2521))
          (PORT CE (1412:1412:1412)(1412:1412:1412))
          (PORT CLK (382:382:382)(382:382:382))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_3\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_3_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1023:1023:1023)(1023:1023:1023))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_delay_cnt_7_0_0\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (840:840:840)(840:840:840))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_cnt_7_0_0\/FFY\/SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1053:1053:1053)(1053:1053:1053))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_cnt_7_0_0_97)
      (DELAY
        (ABSOLUTE
          (PORT I (496:496:496)(496:496:496))
          (PORT CE (704:704:704)(704:704:704))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_4\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_4_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1053:1053:1053)(1053:1053:1053))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_0\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_0_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1280:1280:1280)(1280:1280:1280))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_5\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_5_0)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_1\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_1_5)
      (DELAY
        (ABSOLUTE
          (PORT I (966:966:966)(966:966:966))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE div_reg\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE div_reg_98)
      (DELAY
        (ABSOLUTE
          (PORT I (298:298:298)(298:298:298))
          (PORT CLK (386:386:386)(386:386:386))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_0\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_0_0)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_1\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_1_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1119:1119:1119)(1119:1119:1119))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_2\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_2_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1115:1115:1115)(1115:1115:1115))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_2\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_2_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1036:1036:1036)(1036:1036:1036))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_0\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_0_5)
      (DELAY
        (ABSOLUTE
          (PORT I (1540:1540:1540)(1540:1540:1540))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_1\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_1_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1675:1675:1675)(1675:1675:1675))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_0\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_0_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1058:1058:1058)(1058:1058:1058))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_0\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_0_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1555:1555:1555)(1555:1555:1555))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_0\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_0_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1058:1058:1058)(1058:1058:1058))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_2\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_2_0)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_4\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_4_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1213:1213:1213)(1213:1213:1213))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_5\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_5_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1049:1049:1049)(1049:1049:1049))
          (PORT CE (1014:1014:1014)(1014:1014:1014))
          (PORT CLK (4660:4660:4660)(4660:4660:4660))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_1\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_1_0)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_1\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_1_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1023:1023:1023)(1023:1023:1023))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_2\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_2_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1351:1351:1351)(1351:1351:1351))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_0\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_0_6)
      (DELAY
        (ABSOLUTE
          (PORT I (2251:2251:2251)(2251:2251:2251))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_1\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_1_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1058:1058:1058)(1058:1058:1058))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_6\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_6_0)
      (DELAY
        (ABSOLUTE
          (PORT I (1027:1027:1027)(1027:1027:1027))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_4\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_4_0)
      (DELAY
        (ABSOLUTE
          (PORT I (1058:1058:1058)(1058:1058:1058))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_1\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_1_6)
      (DELAY
        (ABSOLUTE
          (PORT I (2258:2258:2258)(2258:2258:2258))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_4\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_4_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1364:1364:1364)(1364:1364:1364))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_2\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_2_5)
      (DELAY
        (ABSOLUTE
          (PORT I (1488:1488:1488)(1488:1488:1488))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_6\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_6_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1378:1378:1378)(1378:1378:1378))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_3\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_3_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1119:1119:1119)(1119:1119:1119))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_2\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_2_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_3\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_3_0)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_2\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_2_6)
      (DELAY
        (ABSOLUTE
          (PORT I (2007:2007:2007)(2007:2007:2007))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_5\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_5_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1179:1179:1179)(1179:1179:1179))
          (PORT CE (1014:1014:1014)(1014:1014:1014))
          (PORT CLK (4660:4660:4660)(4660:4660:4660))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_4\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_4_2)
      (DELAY
        (ABSOLUTE
          (PORT I (2082:2082:2082)(2082:2082:2082))
          (PORT CE (1298:1298:1298)(1298:1298:1298))
          (PORT CLK (377:377:377)(377:377:377))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_5\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_5_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_5\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_5_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1108:1108:1108)(1108:1108:1108))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_5\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_5_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1124:1124:1124)(1124:1124:1124))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_7\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_7_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1124:1124:1124)(1124:1124:1124))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_5\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_5_6)
      (DELAY
        (ABSOLUTE
          (PORT I (1486:1486:1486)(1486:1486:1486))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_6\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_6_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1353:1353:1353)(1353:1353:1353))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_7\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_7_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1084:1084:1084)(1084:1084:1084))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_7\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_7_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1040:1040:1040)(1040:1040:1040))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_6\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_6_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1027:1027:1027)(1027:1027:1027))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_6\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_6_5)
      (DELAY
        (ABSOLUTE
          (PORT I (1396:1396:1396)(1396:1396:1396))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_7\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_7_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1032:1032:1032)(1032:1032:1032))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_7\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_7_0)
      (DELAY
        (ABSOLUTE
          (PORT I (1029:1029:1029)(1029:1029:1029))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_7\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_7_5)
      (DELAY
        (ABSOLUTE
          (PORT I (1301:1301:1301)(1301:1301:1301))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_6\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_6_6)
      (DELAY
        (ABSOLUTE
          (PORT I (2174:2174:2174)(2174:2174:2174))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_6\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_6_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_1\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_1_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (PORT CE (1612:1612:1612)(1612:1612:1612))
          (PORT CLK (4812:4812:4812)(4812:4812:4812))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE div_reg_2\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE div_reg_1_99)
      (DELAY
        (ABSOLUTE
          (PORT I (298:298:298)(298:298:298))
          (PORT CLK (389:389:389)(389:389:389))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE div_reg_2\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE div_reg_2_100)
      (DELAY
        (ABSOLUTE
          (PORT I (231:231:231)(231:231:231))
          (PORT CLK (389:389:389)(389:389:389))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_shift_reg_7\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_shift_reg_7_6)
      (DELAY
        (ABSOLUTE
          (PORT I (2163:2163:2163)(2163:2163:2163))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_5\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_5_7)
      (DELAY
        (ABSOLUTE
          (PORT I (2811:2811:2811)(2811:2811:2811))
          (PORT CE (948:948:948)(948:948:948))
          (PORT CLK (395:395:395)(395:395:395))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_0\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_0_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1027:1027:1027)(1027:1027:1027))
          (PORT CE (909:909:909)(909:909:909))
          (PORT CLK (4720:4720:4720)(4720:4720:4720))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_1\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_1_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1101:1101:1101)(1101:1101:1101))
          (PORT CE (1014:1014:1014)(1014:1014:1014))
          (PORT CLK (4847:4847:4847)(4847:4847:4847))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_2\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_2_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1014:1014:1014)(1014:1014:1014))
          (PORT CE (1138:1138:1138)(1138:1138:1138))
          (PORT CLK (4706:4706:4706)(4706:4706:4706))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_2\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_2_3)
      (DELAY
        (ABSOLUTE
          (PORT I (969:969:969)(969:969:969))
          (PORT CE (1138:1138:1138)(1138:1138:1138))
          (PORT CLK (4706:4706:4706)(4706:4706:4706))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_0\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_0_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (PORT CE (1318:1318:1318)(1318:1318:1318))
          (PORT CLK (4823:4823:4823)(4823:4823:4823))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_5\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_5_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (PORT CE (1340:1340:1340)(1340:1340:1340))
          (PORT CLK (4894:4894:4894)(4894:4894:4894))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_1\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_1_7)
      (DELAY
        (ABSOLUTE
          (PORT I (2074:2074:2074)(2074:2074:2074))
          (PORT CE (1573:1573:1573)(1573:1573:1573))
          (PORT CLK (4777:4777:4777)(4777:4777:4777))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_4\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_4_7)
      (DELAY
        (ABSOLUTE
          (PORT I (2297:2297:2297)(2297:2297:2297))
          (PORT CE (1465:1465:1465)(1465:1465:1465))
          (PORT CLK (4583:4583:4583)(4583:4583:4583))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_1\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_1_6)
      (DELAY
        (ABSOLUTE
          (PORT I (1029:1029:1029)(1029:1029:1029))
          (PORT CE (1573:1573:1573)(1573:1573:1573))
          (PORT CLK (4777:4777:4777)(4777:4777:4777))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_4\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_4_6)
      (DELAY
        (ABSOLUTE
          (PORT I (1032:1032:1032)(1032:1032:1032))
          (PORT CE (1465:1465:1465)(1465:1465:1465))
          (PORT CLK (4583:4583:4583)(4583:4583:4583))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_1\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_1_5)
      (DELAY
        (ABSOLUTE
          (PORT I (960:960:960)(960:960:960))
          (PORT CE (1612:1612:1612)(1612:1612:1612))
          (PORT CLK (4812:4812:4812)(4812:4812:4812))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_0\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_0_3)
      (DELAY
        (ABSOLUTE
          (PORT I (966:966:966)(966:966:966))
          (PORT CE (909:909:909)(909:909:909))
          (PORT CLK (4720:4720:4720)(4720:4720:4720))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_5\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_5_5)
      (DELAY
        (ABSOLUTE
          (PORT I (2736:2736:2736)(2736:2736:2736))
          (PORT CE (792:792:792)(792:792:792))
          (PORT CLK (395:395:395)(395:395:395))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_0\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_0_5)
      (DELAY
        (ABSOLUTE
          (PORT I (960:960:960)(960:960:960))
          (PORT CE (1318:1318:1318)(1318:1318:1318))
          (PORT CLK (4823:4823:4823)(4823:4823:4823))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_0\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_0_7)
      (DELAY
        (ABSOLUTE
          (PORT I (2235:2235:2235)(2235:2235:2235))
          (PORT CE (1672:1672:1672)(1672:1672:1672))
          (PORT CLK (4661:4661:4661)(4661:4661:4661))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_0\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_0_6)
      (DELAY
        (ABSOLUTE
          (PORT I (1033:1033:1033)(1033:1033:1033))
          (PORT CE (1672:1672:1672)(1672:1672:1672))
          (PORT CLK (4661:4661:4661)(4661:4661:4661))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_3\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_3_5)
      (DELAY
        (ABSOLUTE
          (PORT I (947:947:947)(947:947:947))
          (PORT CE (1152:1152:1152)(1152:1152:1152))
          (PORT CLK (4779:4779:4779)(4779:4779:4779))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_1\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_1_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1051:1051:1051)(1051:1051:1051))
          (PORT CE (1014:1014:1014)(1014:1014:1014))
          (PORT CLK (4847:4847:4847)(4847:4847:4847))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_2\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_2_6)
      (DELAY
        (ABSOLUTE
          (PORT I (1024:1024:1024)(1024:1024:1024))
          (PORT CE (1555:1555:1555)(1555:1555:1555))
          (PORT CLK (4633:4633:4633)(4633:4633:4633))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_4\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_4_7)
      (DELAY
        (ABSOLUTE
          (PORT I (2823:2823:2823)(2823:2823:2823))
          (PORT CE (878:878:878)(878:878:878))
          (PORT CLK (396:396:396)(396:396:396))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_5\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_5_6)
      (DELAY
        (ABSOLUTE
          (PORT I (2460:2460:2460)(2460:2460:2460))
          (PORT CE (948:948:948)(948:948:948))
          (PORT CLK (395:395:395)(395:395:395))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_2\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_2_7)
      (DELAY
        (ABSOLUTE
          (PORT I (1974:1974:1974)(1974:1974:1974))
          (PORT CE (1555:1555:1555)(1555:1555:1555))
          (PORT CLK (4633:4633:4633)(4633:4633:4633))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_3\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_3_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1027:1027:1027)(1027:1027:1027))
          (PORT CE (1152:1152:1152)(1152:1152:1152))
          (PORT CLK (4779:4779:4779)(4779:4779:4779))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_5\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_5_4)
      (DELAY
        (ABSOLUTE
          (PORT I (3246:3246:3246)(3246:3246:3246))
          (PORT CE (792:792:792)(792:792:792))
          (PORT CLK (395:395:395)(395:395:395))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_4\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_4_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1070:1070:1070)(1070:1070:1070))
          (PORT CE (728:728:728)(728:728:728))
          (PORT CLK (4808:4808:4808)(4808:4808:4808))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_4\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_4_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1005:1005:1005)(1005:1005:1005))
          (PORT CE (728:728:728)(728:728:728))
          (PORT CLK (4808:4808:4808)(4808:4808:4808))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_4\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_4_6)
      (DELAY
        (ABSOLUTE
          (PORT I (2503:2503:2503)(2503:2503:2503))
          (PORT CE (878:878:878)(878:878:878))
          (PORT CLK (396:396:396)(396:396:396))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_5\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_5_5)
      (DELAY
        (ABSOLUTE
          (PORT I (960:960:960)(960:960:960))
          (PORT CE (1340:1340:1340)(1340:1340:1340))
          (PORT CLK (4894:4894:4894)(4894:4894:4894))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_7\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_7_7)
      (DELAY
        (ABSOLUTE
          (PORT I (2170:2170:2170)(2170:2170:2170))
          (PORT CE (1304:1304:1304)(1304:1304:1304))
          (PORT CLK (4655:4655:4655)(4655:4655:4655))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_1\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_1_7)
      (DELAY
        (ABSOLUTE
          (PORT I (2269:2269:2269)(2269:2269:2269))
          (PORT CE (1948:1948:1948)(1948:1948:1948))
          (PORT CLK (375:375:375)(375:375:375))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_5\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_5_6)
      (DELAY
        (ABSOLUTE
          (PORT I (1012:1012:1012)(1012:1012:1012))
          (PORT CE (1402:1402:1402)(1402:1402:1402))
          (PORT CLK (4765:4765:4765)(4765:4765:4765))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_OR2")
    (INSTANCE frame_cnt_1_1\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (PORT I0 (256:256:256)(256:256:256))
          (PORT I1 (8982:8982:8982)(8982:8982:8982))
          (IOPATH I0 O (0:0:0)(0:0:0))
          (IOPATH I1 O (0:0:0)(0:0:0))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_cnt_1_1_101)
      (DELAY
        (ABSOLUTE
          (PORT I (869:869:869)(869:869:869))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_5\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_5_7)
      (DELAY
        (ABSOLUTE
          (PORT I (1468:1468:1468)(1468:1468:1468))
          (PORT CE (1402:1402:1402)(1402:1402:1402))
          (PORT CLK (4765:4765:4765)(4765:4765:4765))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_6\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_6_3)
      (DELAY
        (ABSOLUTE
          (PORT I (954:954:954)(954:954:954))
          (PORT CE (1105:1105:1105)(1105:1105:1105))
          (PORT CLK (4706:4706:4706)(4706:4706:4706))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_6\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_6_7)
      (DELAY
        (ABSOLUTE
          (PORT I (2235:2235:2235)(2235:2235:2235))
          (PORT CE (1327:1327:1327)(1327:1327:1327))
          (PORT CLK (4770:4770:4770)(4770:4770:4770))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_7\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_7_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1019:1019:1019)(1019:1019:1019))
          (PORT CE (1196:1196:1196)(1196:1196:1196))
          (PORT CLK (4630:4630:4630)(4630:4630:4630))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_6\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_6_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1012:1012:1012)(1012:1012:1012))
          (PORT CE (1105:1105:1105)(1105:1105:1105))
          (PORT CLK (4706:4706:4706)(4706:4706:4706))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_6\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_6_5)
      (DELAY
        (ABSOLUTE
          (PORT I (954:954:954)(954:954:954))
          (PORT CE (1530:1530:1530)(1530:1530:1530))
          (PORT CLK (4823:4823:4823)(4823:4823:4823))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_0\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_0_4)
      (DELAY
        (ABSOLUTE
          (PORT I (2918:2918:2918)(2918:2918:2918))
          (PORT CE (879:879:879)(879:879:879))
          (PORT CLK (397:397:397)(397:397:397))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_7\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_7_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1073:1073:1073)(1073:1073:1073))
          (PORT CE (1196:1196:1196)(1196:1196:1196))
          (PORT CLK (4630:4630:4630)(4630:4630:4630))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_7\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_7_6)
      (DELAY
        (ABSOLUTE
          (PORT I (1035:1035:1035)(1035:1035:1035))
          (PORT CE (1304:1304:1304)(1304:1304:1304))
          (PORT CLK (4655:4655:4655)(4655:4655:4655))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_7\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_7_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1046:1046:1046)(1046:1046:1046))
          (PORT CE (1466:1466:1466)(1466:1466:1466))
          (PORT CLK (4480:4480:4480)(4480:4480:4480))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_7\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_7_5)
      (DELAY
        (ABSOLUTE
          (PORT I (969:969:969)(969:969:969))
          (PORT CE (1466:1466:1466)(1466:1466:1466))
          (PORT CLK (4480:4480:4480)(4480:4480:4480))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_6\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_6_4)
      (DELAY
        (ABSOLUTE
          (PORT I (1012:1012:1012)(1012:1012:1012))
          (PORT CE (1530:1530:1530)(1530:1530:1530))
          (PORT CLK (4823:4823:4823)(4823:4823:4823))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE rx_buf_reg_6\<6\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE rx_buf_reg_6_6)
      (DELAY
        (ABSOLUTE
          (PORT I (1055:1055:1055)(1055:1055:1055))
          (PORT CE (1316:1316:1316)(1316:1316:1316))
          (PORT CLK (4851:4851:4851)(4851:4851:4851))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_0\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_0_0)
      (DELAY
        (ABSOLUTE
          (PORT I (3375:3375:3375)(3375:3375:3375))
          (PORT CE (1054:1054:1054)(1054:1054:1054))
          (PORT CLK (377:377:377)(377:377:377))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_4\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_4_4)
      (DELAY
        (ABSOLUTE
          (PORT I (2791:2791:2791)(2791:2791:2791))
          (PORT CE (828:828:828)(828:828:828))
          (PORT CLK (396:396:396)(396:396:396))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_5\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_5_3)
      (DELAY
        (ABSOLUTE
          (PORT I (2154:2154:2154)(2154:2154:2154))
          (PORT CE (732:732:732)(732:732:732))
          (PORT CLK (396:396:396)(396:396:396))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_0\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_0_5)
      (DELAY
        (ABSOLUTE
          (PORT I (2652:2652:2652)(2652:2652:2652))
          (PORT CE (879:879:879)(879:879:879))
          (PORT CLK (397:397:397)(397:397:397))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_1\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_1_5)
      (DELAY
        (ABSOLUTE
          (PORT I (2375:2375:2375)(2375:2375:2375))
          (PORT CE (1967:1967:1967)(1967:1967:1967))
          (PORT CLK (379:379:379)(379:379:379))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_0\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_0_2)
      (DELAY
        (ABSOLUTE
          (PORT I (2141:2141:2141)(2141:2141:2141))
          (PORT CE (1619:1619:1619)(1619:1619:1619))
          (PORT CLK (380:380:380)(380:380:380))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_0\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_0_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1967:1967:1967)(1967:1967:1967))
          (PORT CE (1054:1054:1054)(1054:1054:1054))
          (PORT CLK (377:377:377)(377:377:377))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_6\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_6_0)
      (DELAY
        (ABSOLUTE
          (PORT I (3158:3158:3158)(3158:3158:3158))
          (PORT CE (1071:1071:1071)(1071:1071:1071))
          (PORT CLK (382:382:382)(382:382:382))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_0\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_0_3)
      (DELAY
        (ABSOLUTE
          (PORT I (2192:2192:2192)(2192:2192:2192))
          (PORT CE (1619:1619:1619)(1619:1619:1619))
          (PORT CLK (380:380:380)(380:380:380))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_1\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_1_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1856:1856:1856)(1856:1856:1856))
          (PORT CE (1892:1892:1892)(1892:1892:1892))
          (PORT CLK (376:376:376)(376:376:376))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_1\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_1_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1901:1901:1901)(1901:1901:1901))
          (PORT CE (1584:1584:1584)(1584:1584:1584))
          (PORT CLK (376:376:376)(376:376:376))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_2\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_2_5)
      (DELAY
        (ABSOLUTE
          (PORT I (3121:3121:3121)(3121:3121:3121))
          (PORT CE (1360:1360:1360)(1360:1360:1360))
          (PORT CLK (381:381:381)(381:381:381))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_2\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_2_0)
      (DELAY
        (ABSOLUTE
          (PORT I (2985:2985:2985)(2985:2985:2985))
          (PORT CE (1626:1626:1626)(1626:1626:1626))
          (PORT CLK (376:376:376)(376:376:376))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_0\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_0_7)
      (DELAY
        (ABSOLUTE
          (PORT I (2504:2504:2504)(2504:2504:2504))
          (PORT CE (609:609:609)(609:609:609))
          (PORT CLK (395:395:395)(395:395:395))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_1\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_1_0)
      (DELAY
        (ABSOLUTE
          (PORT I (2985:2985:2985)(2985:2985:2985))
          (PORT CE (1584:1584:1584)(1584:1584:1584))
          (PORT CLK (376:376:376)(376:376:376))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_1\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_1_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1684:1684:1684)(1684:1684:1684))
          (PORT CE (1892:1892:1892)(1892:1892:1892))
          (PORT CLK (376:376:376)(376:376:376))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_0\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_0_6)
      (DELAY
        (ABSOLUTE
          (PORT I (2495:2495:2495)(2495:2495:2495))
          (PORT CE (609:609:609)(609:609:609))
          (PORT CLK (395:395:395)(395:395:395))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_6\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_6_1)
      (DELAY
        (ABSOLUTE
          (PORT I (2182:2182:2182)(2182:2182:2182))
          (PORT CE (1071:1071:1071)(1071:1071:1071))
          (PORT CLK (382:382:382)(382:382:382))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_2\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_2_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1727:1727:1727)(1727:1727:1727))
          (PORT CE (1626:1626:1626)(1626:1626:1626))
          (PORT CLK (376:376:376)(376:376:376))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_1\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_1_4)
      (DELAY
        (ABSOLUTE
          (PORT I (2407:2407:2407)(2407:2407:2407))
          (PORT CE (1967:1967:1967)(1967:1967:1967))
          (PORT CLK (379:379:379)(379:379:379))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_2\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_2_3)
      (DELAY
        (ABSOLUTE
          (PORT I (1804:1804:1804)(1804:1804:1804))
          (PORT CE (1728:1728:1728)(1728:1728:1728))
          (PORT CLK (380:380:380)(380:380:380))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_3\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_3_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1992:1992:1992)(1992:1992:1992))
          (PORT CE (1219:1219:1219)(1219:1219:1219))
          (PORT CLK (381:381:381)(381:381:381))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_3\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_3_0)
      (DELAY
        (ABSOLUTE
          (PORT I (3375:3375:3375)(3375:3375:3375))
          (PORT CE (1056:1056:1056)(1056:1056:1056))
          (PORT CLK (382:382:382)(382:382:382))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_2\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_2_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1816:1816:1816)(1816:1816:1816))
          (PORT CE (1728:1728:1728)(1728:1728:1728))
          (PORT CLK (380:380:380)(380:380:380))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_1\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_1_6)
      (DELAY
        (ABSOLUTE
          (PORT I (1718:1718:1718)(1718:1718:1718))
          (PORT CE (1948:1948:1948)(1948:1948:1948))
          (PORT CLK (375:375:375)(375:375:375))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_3\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_3_7)
      (DELAY
        (ABSOLUTE
          (PORT I (2694:2694:2694)(2694:2694:2694))
          (PORT CE (1313:1313:1313)(1313:1313:1313))
          (PORT CLK (396:396:396)(396:396:396))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_3\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_3_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1967:1967:1967)(1967:1967:1967))
          (PORT CE (1056:1056:1056)(1056:1056:1056))
          (PORT CLK (382:382:382)(382:382:382))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_2\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_2_4)
      (DELAY
        (ABSOLUTE
          (PORT I (3083:3083:3083)(3083:3083:3083))
          (PORT CE (1360:1360:1360)(1360:1360:1360))
          (PORT CLK (381:381:381)(381:381:381))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_4\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_4_5)
      (DELAY
        (ABSOLUTE
          (PORT I (2805:2805:2805)(2805:2805:2805))
          (PORT CE (828:828:828)(828:828:828))
          (PORT CLK (396:396:396)(396:396:396))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_5\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_5_2)
      (DELAY
        (ABSOLUTE
          (PORT I (2230:2230:2230)(2230:2230:2230))
          (PORT CE (732:732:732)(732:732:732))
          (PORT CLK (396:396:396)(396:396:396))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_3\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_3_6)
      (DELAY
        (ABSOLUTE
          (PORT I (2495:2495:2495)(2495:2495:2495))
          (PORT CE (1313:1313:1313)(1313:1313:1313))
          (PORT CLK (396:396:396)(396:396:396))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_3\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_3_3)
      (DELAY
        (ABSOLUTE
          (PORT I (2083:2083:2083)(2083:2083:2083))
          (PORT CE (1219:1219:1219)(1219:1219:1219))
          (PORT CLK (381:381:381)(381:381:381))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_6\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_6_5)
      (DELAY
        (ABSOLUTE
          (PORT I (3296:3296:3296)(3296:3296:3296))
          (PORT CE (1515:1515:1515)(1515:1515:1515))
          (PORT CLK (382:382:382)(382:382:382))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_6\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_6_2)
      (DELAY
        (ABSOLUTE
          (PORT I (1997:1997:1997)(1997:1997:1997))
          (PORT CE (1111:1111:1111)(1111:1111:1111))
          (PORT CLK (382:382:382)(382:382:382))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_6\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_6_3)
      (DELAY
        (ABSOLUTE
          (PORT I (2145:2145:2145)(2145:2145:2145))
          (PORT CE (1111:1111:1111)(1111:1111:1111))
          (PORT CLK (382:382:382)(382:382:382))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_7\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_7_6)
      (DELAY
        (ABSOLUTE
          (PORT I (2866:2866:2866)(2866:2866:2866))
          (PORT CE (985:985:985)(985:985:985))
          (PORT CLK (463:463:463)(463:463:463))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_7\<3\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_7_3)
      (DELAY
        (ABSOLUTE
          (PORT I (2395:2395:2395)(2395:2395:2395))
          (PORT CE (1568:1568:1568)(1568:1568:1568))
          (PORT CLK (451:451:451)(451:451:451))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_6\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_6_7)
      (DELAY
        (ABSOLUTE
          (PORT I (2722:2722:2722)(2722:2722:2722))
          (PORT CE (1380:1380:1380)(1380:1380:1380))
          (PORT CLK (394:394:394)(394:394:394))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_4\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_4_0)
      (DELAY
        (ABSOLUTE
          (PORT I (4339:4339:4339)(4339:4339:4339))
          (PORT CE (584:584:584)(584:584:584))
          (PORT CLK (466:466:466)(466:466:466))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_6\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_6_4)
      (DELAY
        (ABSOLUTE
          (PORT I (3130:3130:3130)(3130:3130:3130))
          (PORT CE (1515:1515:1515)(1515:1515:1515))
          (PORT CLK (382:382:382)(382:382:382))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_7\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_7_0)
      (DELAY
        (ABSOLUTE
          (PORT I (3700:3700:3700)(3700:3700:3700))
          (PORT CE (1323:1323:1323)(1323:1323:1323))
          (PORT CLK (381:381:381)(381:381:381))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_7\<5\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_7_5)
      (DELAY
        (ABSOLUTE
          (PORT I (2599:2599:2599)(2599:2599:2599))
          (PORT CE (1057:1057:1057)(1057:1057:1057))
          (PORT CLK (397:397:397)(397:397:397))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_7\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_7_1)
      (DELAY
        (ABSOLUTE
          (PORT I (1868:1868:1868)(1868:1868:1868))
          (PORT CE (1323:1323:1323)(1323:1323:1323))
          (PORT CLK (381:381:381)(381:381:381))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_0\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_0_0)
      (DELAY
        (ABSOLUTE
          (PORT I (3893:3893:3893)(3893:3893:3893))
          (PORT CE (924:924:924)(924:924:924))
          (PORT CLK (381:381:381)(381:381:381))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_7\<3\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_7_2)
      (DELAY
        (ABSOLUTE
          (PORT I (2430:2430:2430)(2430:2430:2430))
          (PORT CE (1568:1568:1568)(1568:1568:1568))
          (PORT CLK (451:451:451)(451:451:451))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_6\<7\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_6_6)
      (DELAY
        (ABSOLUTE
          (PORT I (2560:2560:2560)(2560:2560:2560))
          (PORT CE (1380:1380:1380)(1380:1380:1380))
          (PORT CLK (394:394:394)(394:394:394))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_1\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_1_0)
      (DELAY
        (ABSOLUTE
          (PORT I (3751:3751:3751)(3751:3751:3751))
          (PORT CE (1376:1376:1376)(1376:1376:1376))
          (PORT CLK (451:451:451)(451:451:451))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_6\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_6_0)
      (DELAY
        (ABSOLUTE
          (PORT I (3521:3521:3521)(3521:3521:3521))
          (PORT CE (962:962:962)(962:962:962))
          (PORT CLK (465:465:465)(465:465:465))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_7\<7\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_7_7)
      (DELAY
        (ABSOLUTE
          (PORT I (2819:2819:2819)(2819:2819:2819))
          (PORT CE (985:985:985)(985:985:985))
          (PORT CLK (463:463:463)(463:463:463))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE tx_buf_reg_7\<5\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE tx_buf_reg_7_4)
      (DELAY
        (ABSOLUTE
          (PORT I (2858:2858:2858)(2858:2858:2858))
          (PORT CE (1057:1057:1057)(1057:1057:1057))
          (PORT CLK (397:397:397)(397:397:397))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_4\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_4_1)
      (DELAY
        (ABSOLUTE
          (PORT I (5576:5576:5576)(5576:5576:5576))
          (PORT CE (584:584:584)(584:584:584))
          (PORT CLK (466:466:466)(466:466:466))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_3\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_3_0)
      (DELAY
        (ABSOLUTE
          (PORT I (4071:4071:4071)(4071:4071:4071))
          (PORT CE (958:958:958)(958:958:958))
          (PORT CLK (451:451:451)(451:451:451))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_0\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_0_1)
      (DELAY
        (ABSOLUTE
          (PORT I (4745:4745:4745)(4745:4745:4745))
          (PORT CE (924:924:924)(924:924:924))
          (PORT CLK (381:381:381)(381:381:381))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_2\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_2_1)
      (DELAY
        (ABSOLUTE
          (PORT I (5060:5060:5060)(5060:5060:5060))
          (PORT CE (589:589:589)(589:589:589))
          (PORT CLK (381:381:381)(381:381:381))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_2\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_2_0)
      (DELAY
        (ABSOLUTE
          (PORT I (4295:4295:4295)(4295:4295:4295))
          (PORT CE (589:589:589)(589:589:589))
          (PORT CLK (381:381:381)(381:381:381))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_1\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_1_1)
      (DELAY
        (ABSOLUTE
          (PORT I (4741:4741:4741)(4741:4741:4741))
          (PORT CE (1376:1376:1376)(1376:1376:1376))
          (PORT CLK (451:451:451)(451:451:451))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_3\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_3_1)
      (DELAY
        (ABSOLUTE
          (PORT I (5061:5061:5061)(5061:5061:5061))
          (PORT CE (958:958:958)(958:958:958))
          (PORT CLK (451:451:451)(451:451:451))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_5\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_5_1)
      (DELAY
        (ABSOLUTE
          (PORT I (5587:5587:5587)(5587:5587:5587))
          (PORT CE (732:732:732)(732:732:732))
          (PORT CLK (382:382:382)(382:382:382))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_5\<1\>\/FFY\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_5_0)
      (DELAY
        (ABSOLUTE
          (PORT I (4097:4097:4097)(4097:4097:4097))
          (PORT CE (732:732:732)(732:732:732))
          (PORT CLK (382:382:382)(382:382:382))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE frame_delay_buf_6\<1\>\/FFX\/RSTOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (8982:8982:8982)(8982:8982:8982))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE frame_delay_buf_6_1)
      (DELAY
        (ABSOLUTE
          (PORT I (3566:3566:3566)(3566:3566:3566))
          (PORT CE (962:962:962)(962:962:962))
          (PORT CLK (465:465:465)(465:465:465))
          (IOPATH CLK O (1085:1085:1085)(1085:1085:1085))
          (IOPATH SET O (828:828:828)(828:828:828))
          (IOPATH RST O (828:828:828)(828:828:828))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (negedge I) (posedge CLK) (478:478:478) (0:0:0))
        (SETUPHOLD (posedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (SETUPHOLD (negedge CE) (posedge CLK) (885:885:885) (0:0:0))
        (WIDTH (posedge CLK) (1806:1806:1806))
        (WIDTH (negedge CLK) (1806:1806:1806))
        (RECOVERY (negedge SET) (posedge CLK) (520:520:520))
        (RECOVERY (negedge RST) (posedge CLK) (520:520:520))
        (WIDTH (posedge SET) (3010:3010:3010))
        (WIDTH (posedge RST) (3010:3010:3010))
      )
  )
  (CELL (CELLTYPE "X_CKBUF")
    (INSTANCE clk_in\/BUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_CKBUF")
    (INSTANCE mpi_clk\/BUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_CKBUF")
    (INSTANCE clk_in_BUFGP\/BUFG\/BUF)
      (DELAY
        (ABSOLUTE
          (PORT I (6:6:6)(6:6:6))
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_CKBUF")
    (INSTANCE mpi_clk_BUFGP\/BUFG\/BUF)
      (DELAY
        (ABSOLUTE
          (PORT I (6:6:6)(6:6:6))
          (IOPATH I O (649:649:649)(649:649:649))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE PWR_VCC_0\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE PWR_VCC_0\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE PWR_VCC_0\/G)
      (DELAY
        (ABSOLUTE
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE PWR_VCC_0\/F)
      (DELAY
        (ABSOLUTE
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE PWR_VCC_1\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE PWR_VCC_1\/F)
      (DELAY
        (ABSOLUTE
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE PWR_VCC_2\/XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE PWR_VCC_2\/F)
      (DELAY
        (ABSOLUTE
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE PWR_GND_0\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE PWR_GND_0\/G)
      (DELAY
        (ABSOLUTE
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE PWR_GND_1\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE PWR_GND_1\/G)
      (DELAY
        (ABSOLUTE
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE PWR_GND_2\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE PWR_GND_2\/G)
      (DELAY
        (ABSOLUTE
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE PWR_GND_3\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE PWR_GND_3\/G)
      (DELAY
        (ABSOLUTE
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE PWR_GND_4\/YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (310:310:310)(310:310:310))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE PWR_GND_4\/G)
      (DELAY
        (ABSOLUTE
          (IOPATH ADR0 O (239:239:239)(239:239:239))
          (IOPATH ADR1 O (239:239:239)(239:239:239))
          (IOPATH ADR2 O (239:239:239)(239:239:239))
          (IOPATH ADR3 O (239:239:239)(239:239:239))
        )
      )
  )
)

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.