OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [beta_2.0/] [regressions/] [single_core/] [test1_IO.vp] - Rev 230

Compare with Previous | Blame | View Log

//////////////////////////////////////////////////
//This  tests does a number of TMREADS and the writes the results 
//into OMEM
//////////////////////////////////////////////////


vector Address = (0, 1, 2);
vector Data;

while (Address.xxx < 10)
{
        Data = in [Address];
        out [Address] = Data;
        Address += 3;
}


exit ;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.