OpenCores
URL https://opencores.org/ocsvn/timerocd/timerocd/trunk

Subversion Repositories timerocd

[/] [timerocd/] [trunk/] [xilinx/] [TimerOCD/] [isim_config.wcfg] - Rev 2

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="/home/dewhisna/Documents/programs/oneTesla/uzzors2k/DRSSTC_MIDI_Interrupter/TimerOCD/xilinx/TimerOCD/TimerOCD_testbench_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="bip_usecase_utils_pkg_v2_0" />
            <top_module name="bip_utils_pkg_v2_0" />
            <top_module name="mult_gen_pkg_v11_0" />
            <top_module name="numeric_std" />
            <top_module name="std_logic_1164" />
            <top_module name="std_logic_arith" />
            <top_module name="std_logic_signed" />
            <top_module name="std_logic_textio" />
            <top_module name="std_logic_unsigned" />
            <top_module name="textio" />
            <top_module name="timerocd_testbench" />
            <top_module name="vcomponents" />
            <top_module name="xbip_multadd_pkg_v2_0" />
            <top_module name="xbip_pipe_v2_0_comp" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="128" />
   <wvobject fp_name="/timerocd_testbench/timerocd_fiberout" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">timerocd_fiberout[1:0]</obj_property>
      <obj_property name="ObjectShortName">timerocd_fiberout[1:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrclk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">tmrclk</obj_property>
      <obj_property name="ObjectShortName">tmrclk</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/outputlevels[0]" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">[0]</obj_property>
      <obj_property name="ObjectShortName">outputlevels[0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/outputlevels[1]" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">[1]</obj_property>
      <obj_property name="ObjectShortName">outputlevels[1]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/outputenables[0]" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">[0]</obj_property>
      <obj_property name="ObjectShortName">outputenables[0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/outputenables[1]" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">[1]</obj_property>
      <obj_property name="ObjectShortName">outputenables[1]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/outputforceload[0]" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">[0]</obj_property>
      <obj_property name="ObjectShortName">outputforceload[0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/outputforceload[1]" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">[1]</obj_property>
      <obj_property name="ObjectShortName">outputforceload[1]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrproc_forceload_strobe" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">tmrproc_forceload_strobe</obj_property>
      <obj_property name="ObjectShortName">tmrproc_forceload_strobe</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/timerocd_gclk_in" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">timerocd_gclk_in</obj_property>
      <obj_property name="ObjectShortName">timerocd_gclk_in</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/led" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">led[7:0]</obj_property>
      <obj_property name="ObjectShortName">led[7:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/addr_timer" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">addr_timer[5:0]</obj_property>
      <obj_property name="ObjectShortName">addr_timer[5:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/syn_rxdata_trigger_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">syn_rxdata_trigger_n</obj_property>
      <obj_property name="ObjectShortName">syn_rxdata_trigger_n</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/syn_rxdata_processing_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">syn_rxdata_processing_n</obj_property>
      <obj_property name="ObjectShortName">syn_rxdata_processing_n</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/rrdy" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rrdy</obj_property>
      <obj_property name="ObjectShortName">rrdy</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/syn_rrdy" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">syn_rrdy</obj_property>
      <obj_property name="ObjectShortName">syn_rrdy</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/syn_rrdy_armed" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">syn_rrdy_armed</obj_property>
      <obj_property name="ObjectShortName">syn_rrdy_armed</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/syn_rrdy_clr_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">syn_rrdy_clr_n</obj_property>
      <obj_property name="ObjectShortName">syn_rrdy_clr_n</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/sclk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">sclk</obj_property>
      <obj_property name="ObjectShortName">sclk</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/clk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clk</obj_property>
      <obj_property name="ObjectShortName">clk</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/txbuf_ndx" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">txbuf_ndx</obj_property>
      <obj_property name="ObjectShortName">txbuf_ndx</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/txcmdbuf_ndx" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">txcmdbuf_ndx</obj_property>
      <obj_property name="ObjectShortName">txcmdbuf_ndx</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/spi_cmd_addr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">spi_cmd_addr[3:0]</obj_property>
      <obj_property name="ObjectShortName">spi_cmd_addr[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/spi_cmd_addr_latch" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">spi_cmd_addr_latch</obj_property>
      <obj_property name="ObjectShortName">spi_cmd_addr_latch</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/spi_txcmd_data" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">spi_txcmd_data[3:0]</obj_property>
      <obj_property name="ObjectShortName">spi_txcmd_data[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/txcmd_buf" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">txcmd_buf[3:0]</obj_property>
      <obj_property name="ObjectShortName">txcmd_buf[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/spi_addr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">spi_addr[7:0]</obj_property>
      <obj_property name="ObjectShortName">spi_addr[7:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/spi_addr_latch" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">spi_addr_latch</obj_property>
      <obj_property name="ObjectShortName">spi_addr_latch</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/spi_command" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">spi_command[5:0]</obj_property>
      <obj_property name="ObjectShortName">spi_command[5:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/wr_add" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wr_add</obj_property>
      <obj_property name="ObjectShortName">wr_add</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/busy" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">busy</obj_property>
      <obj_property name="ObjectShortName">busy</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/ss_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ss_n</obj_property>
      <obj_property name="ObjectShortName">ss_n</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/mosi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">mosi</obj_property>
      <obj_property name="ObjectShortName">mosi</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/miso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">miso</obj_property>
      <obj_property name="ObjectShortName">miso</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/xferdataclk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">xferdataclk</obj_property>
      <obj_property name="ObjectShortName">xferdataclk</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/bit_cntr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bit_cntr[72:0]</obj_property>
      <obj_property name="ObjectShortName">bit_cntr[72:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/bit_cntf" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bit_cntf[72:0]</obj_property>
      <obj_property name="ObjectShortName">bit_cntf[72:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/syn_rxdata_state" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">syn_rxdata_state[2:0]</obj_property>
      <obj_property name="ObjectShortName">syn_rxdata_state[2:0]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/syn_rxdata" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">syn_rxdata[63:0]</obj_property>
      <obj_property name="ObjectShortName">syn_rxdata[63:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/spi_rx_data" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">spi_rx_data[63:0]</obj_property>
      <obj_property name="ObjectShortName">spi_rx_data[63:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/rx_buf" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">rx_buf[63:0]</obj_property>
      <obj_property name="ObjectShortName">rx_buf[63:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/spi_tx_data" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">spi_tx_data[63:0]</obj_property>
      <obj_property name="ObjectShortName">spi_tx_data[63:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/tx_buf" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tx_buf[63:0]</obj_property>
      <obj_property name="ObjectShortName">tx_buf[63:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/addr_buf" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">addr_buf[7:0]</obj_property>
      <obj_property name="ObjectShortName">addr_buf[7:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/load_addr" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">load_addr</obj_property>
      <obj_property name="ObjectShortName">load_addr</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/xfer_mode" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">xfer_mode</obj_property>
      <obj_property name="ObjectShortName">xfer_mode</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/txdata_trigger_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">txdata_trigger_n</obj_property>
      <obj_property name="ObjectShortName">txdata_trigger_n</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/txdata_processing_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">txdata_processing_n</obj_property>
      <obj_property name="ObjectShortName">txdata_processing_n</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/txdata_state" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">txdata_state[1:0]</obj_property>
      <obj_property name="ObjectShortName">txdata_state[1:0]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/cmpfreqleftmemblk_clk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">cmpfreqleftmemblk_clk</obj_property>
      <obj_property name="ObjectShortName">cmpfreqleftmemblk_clk</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/cmpfreqleftmemblk_addr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">cmpfreqleftmemblk_addr[6:0]</obj_property>
      <obj_property name="ObjectShortName">cmpfreqleftmemblk_addr[6:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/cmpfreqleftmemblk_dout" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">cmpfreqleftmemblk_dout[15:0]</obj_property>
      <obj_property name="ObjectShortName">cmpfreqleftmemblk_dout[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/cmpfreqrightmemblk_clk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">cmpfreqrightmemblk_clk</obj_property>
      <obj_property name="ObjectShortName">cmpfreqrightmemblk_clk</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/cmpfreqrightmemblk_addr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">cmpfreqrightmemblk_addr[6:0]</obj_property>
      <obj_property name="ObjectShortName">cmpfreqrightmemblk_addr[6:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/cmpfreqrightmemblk_dout" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">cmpfreqrightmemblk_dout[15:0]</obj_property>
      <obj_property name="ObjectShortName">cmpfreqrightmemblk_dout[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/cmponleftmemblk_clk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">cmponleftmemblk_clk</obj_property>
      <obj_property name="ObjectShortName">cmponleftmemblk_clk</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/cmponleftmemblk_addr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">cmponleftmemblk_addr[13:0]</obj_property>
      <obj_property name="ObjectShortName">cmponleftmemblk_addr[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/cmponleftmemblk_dout" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">cmponleftmemblk_dout[15:0]</obj_property>
      <obj_property name="ObjectShortName">cmponleftmemblk_dout[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/cmponrightmemblk_clk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">cmponrightmemblk_clk</obj_property>
      <obj_property name="ObjectShortName">cmponrightmemblk_clk</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/cmponrightmemblk_addr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">cmponrightmemblk_addr[13:0]</obj_property>
      <obj_property name="ObjectShortName">cmponrightmemblk_addr[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/cmponrightmemblk_dout" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">cmponrightmemblk_dout[15:0]</obj_property>
      <obj_property name="ObjectShortName">cmponrightmemblk_dout[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendleftnoteaindex" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendleftnoteaindex[6:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendleftnoteaindex[6:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendleftnotebindex" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendleftnotebindex[6:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendleftnotebindex[6:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendleftmagnitude" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendleftmagnitude[11:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendleftmagnitude[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendleftcalcfreqalpha" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendleftcalcfreqalpha[15:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendleftcalcfreqalpha[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendleftcalcfreqalphaleft" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendleftcalcfreqalphaleft[27:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendleftcalcfreqalphaleft[27:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendleftcalcfreqdelta" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendleftcalcfreqdelta[15:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendleftcalcfreqdelta[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendleftcalcfreqresult" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendleftcalcfreqresult[15:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendleftcalcfreqresult[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendleftcalconalpha" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendleftcalconalpha[15:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendleftcalconalpha[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendleftcalconalphaleft" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendleftcalconalphaleft[27:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendleftcalconalphaleft[27:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendleftcalcondelta" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendleftcalcondelta[15:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendleftcalcondelta[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendleftcalconresult" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendleftcalconresult[15:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendleftcalconresult[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendrightnoteaindex" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendrightnoteaindex[6:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendrightnoteaindex[6:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendrightnotebindex" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendrightnotebindex[6:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendrightnotebindex[6:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendrightmagnitude" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendrightmagnitude[11:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendrightmagnitude[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendrightcalcfreqalpha" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendrightcalcfreqalpha[15:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendrightcalcfreqalpha[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendrightcalcfreqalphaleft" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendrightcalcfreqalphaleft[27:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendrightcalcfreqalphaleft[27:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendrightcalcfreqdelta" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendrightcalcfreqdelta[15:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendrightcalcfreqdelta[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendrightcalcfreqresult" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendrightcalcfreqresult[15:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendrightcalcfreqresult[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendrightcalconalpha" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendrightcalconalpha[15:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendrightcalconalpha[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendrightcalconalphaleft" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendrightcalconalphaleft[27:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendrightcalconalphaleft[27:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendrightcalcondelta" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendrightcalcondelta[15:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendrightcalcondelta[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/pitchbendrightcalconresult" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pitchbendrightcalconresult[15:0]</obj_property>
      <obj_property name="ObjectShortName">pitchbendrightcalconresult[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrclk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">tmrclk</obj_property>
      <obj_property name="ObjectShortName">tmrclk</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/sysclk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">sysclk</obj_property>
      <obj_property name="ObjectShortName">sysclk</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/iSPI/reset_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">reset_n</obj_property>
      <obj_property name="ObjectShortName">reset_n</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/local_rst_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">local_rst_n</obj_property>
      <obj_property name="ObjectShortName">local_rst_n</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/reset_trigger_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">reset_trigger_n</obj_property>
      <obj_property name="ObjectShortName">reset_trigger_n</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/reset_in_progress_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">reset_in_progress_n</obj_property>
      <obj_property name="ObjectShortName">reset_in_progress_n</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrmemblkleft_enxfer" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">tmrmemblkleft_enxfer</obj_property>
      <obj_property name="ObjectShortName">tmrmemblkleft_enxfer</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrmemblkleft_addrxfer" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrmemblkleft_addrxfer[7:0]</obj_property>
      <obj_property name="ObjectShortName">tmrmemblkleft_addrxfer[7:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrmemblkleft_dinxfer" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrmemblkleft_dinxfer[0:3]</obj_property>
      <obj_property name="ObjectShortName">tmrmemblkleft_dinxfer[0:3]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrmemblkleft_doutxfer" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrmemblkleft_doutxfer[0:3]</obj_property>
      <obj_property name="ObjectShortName">tmrmemblkleft_doutxfer[0:3]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrmemblkleft_addrtmr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrmemblkleft_addrtmr[0:3]</obj_property>
      <obj_property name="ObjectShortName">tmrmemblkleft_addrtmr[0:3]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrmemblkleft_douttmr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrmemblkleft_douttmr[0:3]</obj_property>
      <obj_property name="ObjectShortName">tmrmemblkleft_douttmr[0:3]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrmemblkleft_we_gate" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrmemblkleft_we_gate[3:0]</obj_property>
      <obj_property name="ObjectShortName">tmrmemblkleft_we_gate[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrmemblkright_addrxfer" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrmemblkright_addrxfer[7:0]</obj_property>
      <obj_property name="ObjectShortName">tmrmemblkright_addrxfer[7:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrmemblkright_dinxfer" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrmemblkright_dinxfer[0:3]</obj_property>
      <obj_property name="ObjectShortName">tmrmemblkright_dinxfer[0:3]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrmemblkright_doutxfer" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrmemblkright_doutxfer[0:3]</obj_property>
      <obj_property name="ObjectShortName">tmrmemblkright_doutxfer[0:3]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrmemblkright_addrtmr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrmemblkright_addrtmr[0:3]</obj_property>
      <obj_property name="ObjectShortName">tmrmemblkright_addrtmr[0:3]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrmemblkright_douttmr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrmemblkright_douttmr[0:3]</obj_property>
      <obj_property name="ObjectShortName">tmrmemblkright_douttmr[0:3]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrmemblkright_we_gate" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrmemblkright_we_gate[3:0]</obj_property>
      <obj_property name="ObjectShortName">tmrmemblkright_we_gate[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkleft_clka" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkleft_clka</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkleft_clka</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkleft_ena" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkleft_ena</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkleft_ena</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkleft_wea" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkleft_wea[3:0]</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkleft_wea[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkleft_we_gate" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkleft_we_gate[3:0]</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkleft_we_gate[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkleft_addra" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkleft_addra[5:0]</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkleft_addra[5:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkleft_dina" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkleft_dina[0:3]</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkleft_dina[0:3]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkleft_addrb" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkleft_addrb[5:0]</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkleft_addrb[5:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkleft_doutb" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkleft_doutb[0:3]</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkleft_doutb[0:3]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkright_clka" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkright_clka</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkright_clka</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkright_ena" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkright_ena</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkright_ena</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkright_wea" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkright_wea[3:0]</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkright_wea[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkright_we_gate" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkright_we_gate[3:0]</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkright_we_gate[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkright_addra" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkright_addra[5:0]</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkright_addra[5:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkright_dina" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkright_dina[0:3]</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkright_dina[0:3]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkright_addrb" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkright_addrb[5:0]</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkright_addrb[5:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrctmemblkright_doutb" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrctmemblkright_doutb[0:3]</obj_property>
      <obj_property name="ObjectShortName">tmrctmemblkright_doutb[0:3]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrclk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">tmrclk</obj_property>
      <obj_property name="ObjectShortName">tmrclk</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/sysclk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">sysclk</obj_property>
      <obj_property name="ObjectShortName">sysclk</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrproc_trigger_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">tmrproc_trigger_n</obj_property>
      <obj_property name="ObjectShortName">tmrproc_trigger_n</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrproc_processing_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">tmrproc_processing_n</obj_property>
      <obj_property name="ObjectShortName">tmrproc_processing_n</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrproc_tmrndxeven" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrproc_tmrndxeven[4:0]</obj_property>
      <obj_property name="ObjectShortName">tmrproc_tmrndxeven[4:0]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrproc_tmrndxevenlock" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrproc_tmrndxevenlock[4:0]</obj_property>
      <obj_property name="ObjectShortName">tmrproc_tmrndxevenlock[4:0]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrproc_tmrndxodd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrproc_tmrndxodd[4:0]</obj_property>
      <obj_property name="ObjectShortName">tmrproc_tmrndxodd[4:0]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrproc_tmrndxoddlock" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrproc_tmrndxoddlock[4:0]</obj_property>
      <obj_property name="ObjectShortName">tmrproc_tmrndxoddlock[4:0]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/tmrproc_state" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tmrproc_state[0:0]</obj_property>
      <obj_property name="ObjectShortName">tmrproc_state[0:0]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/timerocd_testbench/uut/thetimer" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">thetimer[15:0]</obj_property>
      <obj_property name="ObjectShortName">thetimer[15:0]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.