OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [run/] [dat/] [oc8051_xrom.in] - Rev 72

Go to most recent revision | Compare with Previous | Blame | View Log

02
00
08
12
00
64
80
FE
75
81
07
12
00
99
E5
82
60
03
02
00
03
79
00
E9
44
00
60
1B
7A
00
90
00
9D
78
00
75
A0
00
E4
93
F2
A3
08
B8
00
02
05
A0
D9
F4
DA
F2
75
A0
FF
E4
78
FF
F6
D8
FD
78
00
E8
44
00
60
0A
79
00
75
A0
00
E4
F3
09
D8
FC
78
00
E8
44
00
60
0C
79
00
90
00
00
E4
F0
A3
D8
FC
D9
FA
02
00
03
7A
2D
7B
0B
EA
B5
03
02
80
15
C3
EB
9A
50
08
EA
C3
9B
FA
8A
80
80
ED
EB
C3
9A
FB
8B
90
80
E5
BA
01
0B
BB
01
08
75
A0
AA
75
B0
AA
80
06
75
A0
55
75
B0
01
80
FE
75
82
00
22
FF
FF
FF
FF

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.