OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [docs/] [doxygenDocs/] [latex/] [_s_y_c0001a_8vhd.tex] - Rev 40

Compare with Previous | Blame | View Log

\section{E\-:/uart\-\_\-block/hdl/ise\-Project/\-S\-Y\-C0001a.vhd File Reference}
\label{_s_y_c0001a_8vhd}\index{E\-:/uart\-\_\-block/hdl/ise\-Project/\-S\-Y\-C0001a.\-vhd@{E\-:/uart\-\_\-block/hdl/ise\-Project/\-S\-Y\-C0001a.\-vhd}}
 
 
S\-Y\-S\-C\-O\-N core avaible at\-: {\tt http\-://www.\-pldworld.\-com/\-\_\-hdl/2/\-\_\-ip/-\/silicore.\-net/wishbone.\-htm}.  
 
 
\subsection*{Entities}
\begin{DoxyCompactItemize}
\item 
{\bf S\-Y\-C0001a} entity
\item 
{\bf S\-Y\-C0001a1} architecture
\begin{DoxyCompactList}\small\item\em Architecture definition. of S\-Y\-S\-C\-O\-N core. \end{DoxyCompactList}\end{DoxyCompactItemize}
 
 
\subsection{Detailed Description}
S\-Y\-S\-C\-O\-N core avaible at\-: {\tt http\-://www.\-pldworld.\-com/\-\_\-hdl/2/\-\_\-ip/-\/silicore.\-net/wishbone.\-htm}. 
 
Definition in file {\bf S\-Y\-C0001a.\-vhd}.
 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.