OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [docs/] [doxygenDocs/] [latex/] [classserial__transmitter_1_1_behavioral.tex] - Rev 40

Compare with Previous | Blame | View Log

\section{Behavioral Architecture Reference}
\label{classserial__transmitter_1_1_behavioral}\index{Behavioral@{Behavioral}}
 
 
Serial transmitter {\tt http\-://www.\-fpga4fun.\-com/\-Serial\-Interface.\-html}.  
 
 
\\*
\\*
\subsection*{Processes}
 \begin{DoxyCompactItemize}
\item 
{\bf P\-R\-O\-C\-E\-S\-S\-\_\-5}{\bfseries  ( {\bfseries {\bfseries {\bf rst}}   ,{\bfseries {\bf baud\-Clk}}  } )}\label{classserial__transmitter_1_1_behavioral_a82826f99e62c6a945ef0659e256a9a17}
 
\item 
{\bf P\-R\-O\-C\-E\-S\-S\-\_\-6}{\bfseries  ( {\bfseries current\-\_\-s  ,{\bfseries {\bf data\-\_\-byte}}  } )}\label{classserial__transmitter_1_1_behavioral_ae9a73197cd4c2a8888d0bea4853b1ce5}
 
\end{DoxyCompactItemize}
\subsection*{Signals}
 \begin{DoxyCompactItemize}
\item 
{\bf current\-\_\-s} {\bfseries tx\-States } \label{classserial__transmitter_1_1_behavioral_ada42051d3e39368808b60c3fa4725b22}
 
\item 
{\bf next\-\_\-s} {\bfseries tx\-States } \label{classserial__transmitter_1_1_behavioral_a6a0ab6e3ac55ab764d07bb6705d598cc}
 
\end{DoxyCompactItemize}
 
 
\subsection{Detailed Description}
Serial transmitter {\tt http\-://www.\-fpga4fun.\-com/\-Serial\-Interface.\-html}. 
 
Implement block that serialize the \char`\"{}data\-\_\-byte\char`\"{} signal on a stream of bits clocked out by \char`\"{}baud\-Clk\char`\"{} 
 
Definition at line 19 of file serial\-\_\-transmitter.\-vhd.
 
 
 
The documentation for this class was generated from the following file\-:\begin{DoxyCompactItemize}
\item 
E\-:/uart\-\_\-block/hdl/ise\-Project/{\bf serial\-\_\-transmitter.\-vhd}\end{DoxyCompactItemize}
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.