OpenCores
URL https://opencores.org/ocsvn/uos_processor/uos_processor/trunk

Subversion Repositories uos_processor

[/] [vhdl/] [readme.txt] - Rev 2

Compare with Previous | Blame | View Log

University of Sussex Educational Processor
(c) Daniel Roggen, 2014-2017

**Files**
CPU:
- cpu.vhd: CPU itself
- cpualu.vhd: ALU
- cpuregbank.vhd: register bank
- cpusequencer.vhd: fetchh/fetchl/exec cycles
Top-level:
- labcpu.vhd: top level instantiating CPU, ram, ram editor, and interfacing to 7-segments, leds, and switches
Support:
- ram.vhd: RAM 
- ramedit.vhd: RAM editor
- hexto7seg.vhd: hex to 7-seg decoder
- edgedetect.vhd: edge detector
- dffre.vhd: D flip-flop with reset and enable
- clkdiv.vhd: clock divider
- debounce.vhd: debouncer (borrowed from Altera Quartus)
- Nexys4_Master.xdc: constraint file

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.