OpenCores
URL https://opencores.org/ocsvn/usb2uart/usb2uart/trunk

Subversion Repositories usb2uart

[/] [usb2uart/] [trunk/] [verify/] [log/] [run.log] - Rev 8

Compare with Previous | Blame | View Log

Reading D:/Microsemi/Libero_v10.1/Model/tcl/vsim/pref.tcl 

# 10.1b

# vsim -do modelsim.do -c tb 
# //  ModelSim ACTEL 10.1b Apr 27 2012 
# //
# //  Copyright 1991-2012 Mentor Graphics Corporation
# //  All Rights Reserved.
# //
# //  THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
# //  WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
# //  LICENSORS AND IS SUBJECT TO LICENSE TERMS.
# //
# Loading work.tb
# Loading work.core
# Loading work.usb_phy
# Loading work.usb_tx_phy
# Loading work.usb_rx_phy
# Loading work.usb1_core
# Loading work.usb1_utmi_if
# Loading work.usb1_pl
# Loading work.usb1_pd
# Loading work.usb1_crc5
# Loading work.usb1_crc16
# Loading work.usb1_pa
# Loading work.usb1_idma
# Loading work.usb1_fifo2
# Loading work.usb1_pe
# Loading work.usb1_ctrl
# Loading work.usb1_rom1
# Loading work.sync_fifo
# Loading work.generic_fifo_sc_a
# Loading work.generic_dpram
# Loading work.uart_core
# Loading work.uart_cfg
# Loading work.generic_register
# Loading work.stat_register
# Loading work.clk_ctl
# Loading work.uart_txfsm
# Loading work.uart_rxfsm
# Loading work.async_fifo
# Loading work.double_sync_low
# Loading work.usb_agent
# Loading work.host_usb_bfm
# Loading work.usb_bfm_encoder
# Loading work.usb_bfm_decoder
# Loading work.usb_bfm_dpll
# Loading work.usb_bfm_clk_switch
# Loading work.usb_bfm_nrzi2nrz
# Loading work.usb_bfm_ph_detect
# Loading work.usb_bfm_pulse_puller
# Loading work.uart_agent
# Loading work.test_control
# Loading work.bit_register
# do modelsim.do 
# 1200: USB Reset  -----
# 5418: Set Address = 1 -----
# CntrlTransType = 11
# In  --> In task wait for response at time 17346000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 17651000
# In  --> Decoder enabled at time 18362000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 19163000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# 
#     #######################################################
#     Received Status is ACK at 19163
#     #######################################################
# 
# Input Address:00, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:00;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 22386000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 22775000
# In  --> Decoder enabled at time 23486000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 25631000
# 
#     #######################################################
#     Received Status is ACK at 27510
#     #######################################################
# 
# 32510: Set configuration  -----
# CntrlTransType = 11
# In  --> In task wait for response at time 44394000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 44699000
# In  --> Decoder enabled at time 45412000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 46211000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# 
#     #######################################################
#     Received Status is ACK at 46211
#     #######################################################
# 
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 49434000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 49739000
# In  --> Decoder enabled at time 50453000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 52595000
# 
#     #######################################################
#     Received Status is ACK at 54474
#     #######################################################
# 
# 56474: Configuration done !!!!!!
# CntrlTransType = 11
# In  --> In task wait for response at time 68334000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 68639000
# In  --> Decoder enabled at time 69334000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 70130000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 73374000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00010111
# In  --> raw crc is 254 at time               73374
# In  --> sent crc is bfd5 at time               73374
# In  --> In task wait for response at time 79422000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 79706000
# In  --> Decoder enabled at time 80441000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 81239000
# In  --> bits received are 7
# In  --> ACK received at time 81239000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 84462000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 84851000
# In  --> Decoder enabled at time 85550000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 87686000
# CntrlTransType = 11
# In  --> In task wait for response at time 101514000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 101798000
# In  --> Decoder enabled at time 102532000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 103331000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 106554000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00001110
# In  --> raw crc is 8107 at time              106554
# In  --> sent crc is 7e1f at time              106554
# In  --> In task wait for response at time 112602000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 112907000
# In  --> Decoder enabled at time 113619000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 114419000
# In  --> bits received are 7
# In  --> ACK received at time 114419000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 117642000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 118031000
# In  --> Decoder enabled at time 118748000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 120887000
# 
# ... Writing char  36 ...
# 
# ... Reading the UART Status: xxxxxxxX ...
# CntrlTransType = 10
# In  --> In task wait for response at time 134694000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 134999000
# In  --> Decoder enabled at time 135712000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 136511000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 139650000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 139955000
# In  --> Decoder enabled at time 140669000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 02
# In  --> receive data = 7e
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 1a
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 145562000
# In  --> Data toggle recevied is 1001011 at time 145646000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000010
# In  --> calculated crc is 81a7 at time 145646000.
# In  --> received raw crc is 81a7 at time 145646000.
# In  --> received crc is 7e1a at time 145646000.
# In  --> tmpCrc 7e1a, at time 145646000
# In  --> sending ACK at time 147546000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000010  : 2
# In  --> In task wait for response at time 154098000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 154382000
# In  --> Decoder enabled at time 155114000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 155915000
# 
# ... Reading the UART Status: 00000002 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 167790000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 168095000
# In  --> Decoder enabled at time 168803000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 169607000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 172746000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 173051000
# In  --> Decoder enabled at time 173763000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 02
# In  --> receive data = 7e
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 1a
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 178658000
# In  --> Data toggle recevied is 1001011 at time 178742000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000010
# In  --> calculated crc is 81a7 at time 178742000.
# In  --> received raw crc is 81a7 at time 178742000.
# In  --> received crc is 7e1a at time 178742000.
# In  --> tmpCrc 7e1a, at time 178742000
# In  --> sending ACK at time 180642000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000010  : 2
# ... Write data 24 to UART done cnt :          1 ...
# 
# 
# ... Writing char 129 ...
# In  --> In task wait for response at time 187194000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 187478000
# In  --> Decoder enabled at time 188208000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 188990000
# 
# ... Reading the UART Status: 00000002 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 200886000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 201170000
# In  --> Decoder enabled at time 201897000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 202682000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 205842000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 206210000
# In  --> Decoder enabled at time 206943000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 211838000
# In  --> Data toggle recevied is 1001011 at time 211922000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 211922000.
# In  --> received raw crc is 24 at time 211922000.
# In  --> received crc is ffdb at time 211922000.
# In  --> tmpCrc ffdb, at time 211922000
# In  --> sending ACK at time 213822000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 220374000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 220658000
# In  --> Decoder enabled at time 221385000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 222170000
# CntrlTransType = 10
# In  --> In task wait for response at time 234066000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 234350000
# In  --> Decoder enabled at time 235077000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 235862000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 239022000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 239390000
# In  --> Decoder enabled at time 240117000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 24
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = c0
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 244997000
# In  --> Data toggle recevied is 1001011 at time 245081000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00100100
# In  --> calculated crc is fc at time 245081000.
# In  --> received raw crc is fc at time 245081000.
# In  --> received crc is ffc0 at time 245081000.
# In  --> tmpCrc ffc0, at time 245081000
# ... Write data 81 to UART done cnt :          2 ...
# 
# 
# ... Writing char   9 ...
# In  --> sending ACK at time 247002000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00100100  : 36
# In  --> In task wait for response at time 253554000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 253859000
# In  --> Decoder enabled at time 254560000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 255371000
# CntrlTransType = 11
# In  --> In task wait for response at time 267246000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 267551000
# In  --> Decoder enabled at time 268251000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 269063000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 272286000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00100100
# In  --> raw crc is fc at time              272286
# In  --> sent crc is ffc0 at time              272286
# In  --> In task wait for response at time 278334000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 278639000
# In  --> Decoder enabled at time 279341000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 280151000
# In  --> bits received are 7
# In  --> ACK received at time 280151000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 283374000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 283763000
# In  --> Decoder enabled at time 284465000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 286598000
# 
# ... Reading the UART Status: 00000026 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 300426000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 300710000
# In  --> Decoder enabled at time 301429000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 302222000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 305382000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 305750000
# In  --> Decoder enabled at time 306475000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# ... Write data 09 to UART done cnt :          3 ...
# 
# 
# ... Writing char  99 ...
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 311357000
# In  --> Data toggle recevied is 1001011 at time 311441000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 311441000.
# In  --> received raw crc is 24 at time 311441000.
# In  --> received crc is ffdb at time 311441000.
# In  --> tmpCrc ffdb, at time 311441000
# In  --> sending ACK at time 313362000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 319914000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 320219000
# In  --> Decoder enabled at time 320917000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 321710000
# CntrlTransType = 10
# In  --> In task wait for response at time 333606000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 333890000
# In  --> Decoder enabled at time 334609000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 335402000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 338562000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 338930000
# In  --> Decoder enabled at time 339652000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  24
# ... Read Data from UART done cnt :         1...
# In  --> receive data = 00
# In  --> receive data = 81
# In  --> receive data = 3f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = bb
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 344537000
# In  --> Data toggle recevied is 1001011 at time 344621000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 10000001
# In  --> calculated crc is 322 at time 344621000.
# In  --> received raw crc is 322 at time 344621000.
# In  --> received crc is 3fbb at time 344621000.
# In  --> tmpCrc 3fbb, at time 344621000
# In  --> sending ACK at time 346542000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 10000001  : 129
# In  --> In task wait for response at time 353094000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 353399000
# In  --> Decoder enabled at time 354115000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 354911000
# CntrlTransType = 11
# In  --> In task wait for response at time 366786000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 367091000
# In  --> Decoder enabled at time 367807000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 368603000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 371826000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 10000001
# In  --> raw crc is 322 at time              371826
# In  --> sent crc is 3fbb at time              371826
# ... Write data 63 to UART done cnt :          4 ...
# 
# 
# ... Writing char  13 ...
# In  --> In task wait for response at time 377874000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 378179000
# In  --> Decoder enabled at time 378894000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 379691000
# In  --> bits received are 7
# In  --> ACK received at time 379691000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 382914000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 383303000
# In  --> Decoder enabled at time 384018000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 386159000
# 
# ... Reading the UART Status: 00000083 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 399966000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 400271000
# In  --> Decoder enabled at time 400981000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 401783000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 404922000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 405311000
# In  --> Decoder enabled at time 406025000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 410918000
# In  --> Data toggle recevied is 1001011 at time 411002000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 411002000.
# In  --> received raw crc is 24 at time 411002000.
# In  --> received crc is ffdb at time 411002000.
# In  --> tmpCrc ffdb, at time 411002000
# In  --> sending ACK at time 412902000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 419454000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 419738000
# In  --> Decoder enabled at time 420467000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 421271000
# CntrlTransType = 10
# In  --> In task wait for response at time 433146000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 433451000
# In  --> Decoder enabled at time 434159000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 434963000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# ... Write data 0d to UART done cnt :          5 ...
# 
# 
# ... Writing char 141 ...
# In  --> In task wait for response at time 438102000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 438491000
# In  --> Decoder enabled at time 439202000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 09
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  81
# ... Read Data from UART done cnt :         2...
# In  --> receive data = 3f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = dd
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 444098000
# In  --> Data toggle recevied is 1001011 at time 444182000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00001001
# In  --> calculated crc is 344 at time 444182000.
# In  --> received raw crc is 344 at time 444182000.
# In  --> received crc is 3fdd at time 444182000.
# In  --> tmpCrc 3fdd, at time 444182000
# In  --> sending ACK at time 446082000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00001001  : 9
# In  --> In task wait for response at time 452634000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 452918000
# In  --> Decoder enabled at time 453647000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 454430000
# CntrlTransType = 11
# In  --> In task wait for response at time 466326000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 466610000
# In  --> Decoder enabled at time 467336000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 468122000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 471366000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00001001
# In  --> raw crc is 344 at time              471366
# In  --> sent crc is 3fdd at time              471366
# In  --> In task wait for response at time 477414000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 477698000
# In  --> Decoder enabled at time 478423000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 479210000
# In  --> bits received are 7
# In  --> ACK received at time 479210000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 482454000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 482822000
# In  --> Decoder enabled at time 483549000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 485678000
# 
# ... Reading the UART Status: 0000000b ...
# CntrlTransType = 10
# In  --> In task wait for response at time 499506000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 499790000
# In  --> Decoder enabled at time 500516000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 501302000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# ... Write data 8d to UART done cnt :          6 ...
# 
# 
# ... Writing char 101 ...
# In  --> In task wait for response at time 504462000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 504830000
# In  --> Decoder enabled at time 505556000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 510437000
# In  --> Data toggle recevied is 1001011 at time 510521000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 510521000.
# In  --> received raw crc is 24 at time 510521000.
# In  --> received crc is ffdb at time 510521000.
# In  --> tmpCrc ffdb, at time 510521000
# In  --> sending ACK at time 512442000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 518994000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 519299000
# In  --> Decoder enabled at time 519999000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 520811000
# CntrlTransType = 10
# In  --> In task wait for response at time 532686000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 532991000
# In  --> Decoder enabled at time 533690000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 534503000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 537642000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 538031000
# In  --> Decoder enabled at time 538734000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 63
# In  --> receive data = bf
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = f2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 543617000
# In  --> Data toggle recevied is 1001011 at time 543701000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 01100011
# In  --> calculated crc is 2b0 at time 543701000.
# In  --> received raw crc is 2b0 at time 543701000.
# In  --> received crc is bff2 at time 543701000.
# In  --> tmpCrc bff2, at time 543701000
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  09
# ... Read Data from UART done cnt :         3...
# In  --> sending ACK at time 545622000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 01100011  : 99
# In  --> In task wait for response at time 552174000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 552479000
# In  --> Decoder enabled at time 553176000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 553970000
# ... Write data 65 to UART done cnt :          7 ...
# 
# 
# ... Writing char  18 ...
# CntrlTransType = 11
# In  --> In task wait for response at time 565866000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 566150000
# In  --> Decoder enabled at time 566868000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 567662000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 570906000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 01100011
# In  --> raw crc is 2b0 at time              570906
# In  --> sent crc is bff2 at time              570906
# In  --> In task wait for response at time 576954000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 577238000
# In  --> Decoder enabled at time 577955000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 578750000
# In  --> bits received are 7
# In  --> ACK received at time 578750000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 581994000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 582362000
# In  --> Decoder enabled at time 583084000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 585218000
# 
# ... Reading the UART Status: 00000063 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 599046000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 599330000
# In  --> Decoder enabled at time 600066000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 600863000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 604002000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 604391000
# In  --> Decoder enabled at time 605088000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 609977000
# In  --> Data toggle recevied is 1001011 at time 610061000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 610061000.
# In  --> received raw crc is 24 at time 610061000.
# In  --> received crc is ffdb at time 610061000.
# In  --> tmpCrc ffdb, at time 610061000
# In  --> sending ACK at time 611982000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 618534000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 618839000
# In  --> Decoder enabled at time 619554000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 620351000
# ... Write data 12 to UART done cnt :          8 ...
# 
# 
# ... Writing char   1 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 632226000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 632531000
# In  --> Decoder enabled at time 633246000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 634043000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 637182000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 637571000
# In  --> Decoder enabled at time 638266000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  63
# ... Read Data from UART done cnt :         4...
# In  --> receive data = 00
# In  --> receive data = 0d
# In  --> receive data = 3e
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 1e
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 643073000
# In  --> Data toggle recevied is 1001011 at time 643157000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00001101
# In  --> calculated crc is 8387 at time 643157000.
# In  --> received raw crc is 8387 at time 643157000.
# In  --> received crc is 3e1e at time 643157000.
# In  --> tmpCrc 3e1e, at time 643157000
# In  --> sending ACK at time 645078000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00001101  : 13
# In  --> In task wait for response at time 651630000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 651935000
# In  --> Decoder enabled at time 652648000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 653447000
# CntrlTransType = 11
# In  --> In task wait for response at time 665322000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 665627000
# In  --> Decoder enabled at time 666340000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 667139000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 670362000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00001101
# In  --> raw crc is 8387 at time              670362
# In  --> sent crc is 3e1e at time              670362
# In  --> In task wait for response at time 676326000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 676631000
# In  --> Decoder enabled at time 677341000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 678143000
# In  --> bits received are 7
# In  --> ACK received at time 678143000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 681366000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 681671000
# In  --> Decoder enabled at time 682384000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 684527000
# 
# ... Reading the UART Status: 0000000f ...
# ... Write data 01 to UART done cnt :          9 ...
# 
# 
# ... Writing char  13 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 698334000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 698639000
# In  --> Decoder enabled at time 699348000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 700151000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 703290000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 703595000
# In  --> Decoder enabled at time 704308000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 709202000
# In  --> Data toggle recevied is 1001011 at time 709286000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 709286000.
# In  --> received raw crc is 24 at time 709286000.
# In  --> received crc is ffdb at time 709286000.
# In  --> tmpCrc ffdb, at time 709286000
# In  --> sending ACK at time 711186000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 717738000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 718022000
# In  --> Decoder enabled at time 718750000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 719534000
# CntrlTransType = 10
# In  --> In task wait for response at time 731430000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 731714000
# In  --> Decoder enabled at time 732442000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 733226000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 736386000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 736754000
# In  --> Decoder enabled at time 737485000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 8d
# In  --> receive data = 3f
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  0d
# ... Read Data from UART done cnt :         5...
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = be
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 742382000
# In  --> Data toggle recevied is 1001011 at time 742466000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 10001101
# In  --> calculated crc is 382 at time 742466000.
# In  --> received raw crc is 382 at time 742466000.
# In  --> received crc is 3fbe at time 742466000.
# In  --> tmpCrc 3fbe, at time 742466000
# In  --> sending ACK at time 744366000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 10001101  : 141
# In  --> In task wait for response at time 750918000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 751202000
# In  --> Decoder enabled at time 751930000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 752714000
# ... Write data 0d to UART done cnt :         10 ...
# 
# 
# ... Writing char 118 ...
# CntrlTransType = 11
# In  --> In task wait for response at time 764610000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 764894000
# In  --> Decoder enabled at time 765619000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 766406000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 769650000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 10001101
# In  --> raw crc is 382 at time              769650
# In  --> sent crc is 3fbe at time              769650
# In  --> In task wait for response at time 775698000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 775982000
# In  --> Decoder enabled at time 776706000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 777494000
# In  --> bits received are 7
# In  --> ACK received at time 777494000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 780738000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 781106000
# In  --> Decoder enabled at time 781832000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 783962000
# 
# ... Reading the UART Status: 0000008f ...
# CntrlTransType = 10
# In  --> In task wait for response at time 797790000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 798074000
# In  --> Decoder enabled at time 798799000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 799586000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 802746000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 803114000
# In  --> Decoder enabled at time 803839000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 808721000
# In  --> Data toggle recevied is 1001011 at time 808805000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 808805000.
# In  --> received raw crc is 24 at time 808805000.
# In  --> received crc is ffdb at time 808805000.
# In  --> tmpCrc ffdb, at time 808805000
# In  --> sending ACK at time 810726000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 817278000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 817583000
# In  --> Decoder enabled at time 818282000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 819095000
# ... Write data 76 to UART done cnt :         11 ...
# 
# 
# ... Writing char  61 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 830970000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 831275000
# In  --> Decoder enabled at time 831973000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 832787000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 835926000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 836315000
# In  --> Decoder enabled at time 837017000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 65
# In  --> receive data = 3f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = f0
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 841901000
# In  --> Data toggle recevied is 1001011 at time 841985000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 01100101
# In  --> calculated crc is 3f0 at time 841985000.
# In  --> received raw crc is 3f0 at time 841985000.
# In  --> received crc is 3ff0 at time 841985000.
# In  --> tmpCrc 3ff0, at time 841985000
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  8d
# ... Read Data from UART done cnt :         6...
# In  --> sending ACK at time 843906000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 01100101  : 101
# In  --> In task wait for response at time 850458000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 850763000
# In  --> Decoder enabled at time 851459000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 852254000
# CntrlTransType = 11
# In  --> In task wait for response at time 864150000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 864434000
# In  --> Decoder enabled at time 865151000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 865946000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 869190000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 01100101
# In  --> raw crc is 3f0 at time              869190
# In  --> sent crc is 3ff0 at time              869190
# In  --> In task wait for response at time 875238000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 875522000
# In  --> Decoder enabled at time 876258000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 877055000
# In  --> bits received are 7
# In  --> ACK received at time 877055000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 880278000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 880667000
# In  --> Decoder enabled at time 881367000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 883502000
# 
# ... Reading the UART Status: 00000067 ...
# ... Write data 3d to UART done cnt :         12 ...
# 
# 
# ... Writing char 237 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 897330000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 897614000
# In  --> Decoder enabled at time 898349000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 899147000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 902286000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 902675000
# In  --> Decoder enabled at time 903374000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 908261000
# In  --> Data toggle recevied is 1001011 at time 908345000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 908345000.
# In  --> received raw crc is 24 at time 908345000.
# In  --> received crc is ffdb at time 908345000.
# In  --> tmpCrc ffdb, at time 908345000
# In  --> sending ACK at time 910266000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 916818000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 917123000
# In  --> Decoder enabled at time 917837000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 918635000
# CntrlTransType = 10
# In  --> In task wait for response at time 930510000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 930815000
# In  --> Decoder enabled at time 931529000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 932327000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 935466000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 935855000
# In  --> Decoder enabled at time 936569000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  65
# ... Read Data from UART done cnt :         7...
# In  --> receive data = 00
# In  --> receive data = 12
# In  --> receive data = 7f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d6
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 941462000
# In  --> Data toggle recevied is 1001011 at time 941546000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00010010
# In  --> calculated crc is 194 at time 941546000.
# In  --> received raw crc is 194 at time 941546000.
# In  --> received crc is 7fd6 at time 941546000.
# In  --> tmpCrc 7fd6, at time 941546000
# In  --> sending ACK at time 943446000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00010010  : 18
# ... Write data ed to UART done cnt :         13 ...
# 
# 
# ... Writing char 140 ...
# In  --> In task wait for response at time 949998000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 950282000
# In  --> Decoder enabled at time 951011000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 951815000
# CntrlTransType = 11
# In  --> In task wait for response at time 963690000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 963995000
# In  --> Decoder enabled at time 964703000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 965507000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 968730000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00010010
# In  --> raw crc is 194 at time              968730
# In  --> sent crc is 7fd6 at time              968730
# In  --> In task wait for response at time 974778000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 975083000
# In  --> Decoder enabled at time 975793000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 976595000
# In  --> bits received are 7
# In  --> ACK received at time 976595000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 979818000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 980207000
# In  --> Decoder enabled at time 980917000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 983063000
# 
# ... Reading the UART Status: 00000012 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 996870000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 997175000
# In  --> Decoder enabled at time 997881000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 998687000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1001826000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1002215000
# In  --> Decoder enabled at time 1002924000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1007822000
# In  --> Data toggle recevied is 1001011 at time 1007906000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 1007906000.
# In  --> received raw crc is 24 at time 1007906000.
# In  --> received crc is ffdb at time 1007906000.
# In  --> tmpCrc ffdb, at time 1007906000
# In  --> sending ACK at time 1009806000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# ... Write data 8c to UART done cnt :         14 ...
# 
# 
# ... Writing char 249 ...
# In  --> In task wait for response at time 1016358000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1016642000
# In  --> Decoder enabled at time 1017369000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1018154000
# CntrlTransType = 10
# In  --> In task wait for response at time 1030050000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1030334000
# In  --> Decoder enabled at time 1031058000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1031846000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1035006000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1035374000
# In  --> Decoder enabled at time 1036104000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 01
# In  --> receive data = 3e
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  12
# ... Read Data from UART done cnt :         8...
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 1b
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1040897000
# In  --> Data toggle recevied is 1001011 at time 1040981000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000001
# In  --> calculated crc is 8327 at time 1040981000.
# In  --> received raw crc is 8327 at time 1040981000.
# In  --> received crc is 3e1b at time 1040981000.
# In  --> tmpCrc 3e1b, at time 1040981000
# In  --> sending ACK at time 1042902000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000001  : 1
# In  --> In task wait for response at time 1049454000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1049759000
# In  --> Decoder enabled at time 1050463000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1051271000
# CntrlTransType = 11
# In  --> In task wait for response at time 1063146000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1063451000
# In  --> Decoder enabled at time 1064152000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1064963000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 1068186000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00000001
# In  --> raw crc is 8327 at time             1068186
# In  --> sent crc is 3e1b at time             1068186
# In  --> In task wait for response at time 1074150000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1074455000
# In  --> Decoder enabled at time 1075155000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1075967000
# In  --> bits received are 7
# In  --> ACK received at time 1075967000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# ... Write data f9 to UART done cnt :         15 ...
# 
# 
# ... Writing char 198 ...
# In  --> In task wait for response at time 1079190000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1079579000
# In  --> Decoder enabled at time 1080285000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1082414000
# 
# ... Reading the UART Status: 00000003 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 1096242000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1096526000
# In  --> Decoder enabled at time 1097246000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1098038000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1101198000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1101566000
# In  --> Decoder enabled at time 1102292000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1107173000
# In  --> Data toggle recevied is 1001011 at time 1107257000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 1107257000.
# In  --> received raw crc is 24 at time 1107257000.
# In  --> received crc is ffdb at time 1107257000.
# In  --> tmpCrc ffdb, at time 1107257000
# In  --> sending ACK at time 1109178000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 1115730000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1116035000
# In  --> Decoder enabled at time 1116734000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1117526000
# CntrlTransType = 10
# In  --> In task wait for response at time 1129422000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1129706000
# In  --> Decoder enabled at time 1130426000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1131218000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1134378000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1134746000
# In  --> Decoder enabled at time 1135466000 in host
# In  --> receive data = 4b
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  01
# ... Read Data from UART done cnt :         9...
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 0d
# In  --> receive data = 3e
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 1e
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1140269000
# In  --> Data toggle recevied is 1001011 at time 1140353000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00001101
# In  --> calculated crc is 8387 at time 1140353000.
# In  --> received raw crc is 8387 at time 1140353000.
# In  --> received crc is 3e1e at time 1140353000.
# In  --> tmpCrc 3e1e, at time 1140353000
# ... Write data c6 to UART done cnt :         16 ...
# 
# 
# ... Writing char 197 ...
# In  --> sending ACK at time 1142274000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00001101  : 13
# In  --> In task wait for response at time 1148826000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1149131000
# In  --> Decoder enabled at time 1149846000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1150643000
# CntrlTransType = 11
# In  --> In task wait for response at time 1162518000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1162823000
# In  --> Decoder enabled at time 1163538000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1164335000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 1167558000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00001101
# In  --> raw crc is 8387 at time             1167558
# In  --> sent crc is 3e1e at time             1167558
# In  --> In task wait for response at time 1173522000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1173827000
# In  --> Decoder enabled at time 1174541000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1175339000
# In  --> bits received are 7
# In  --> ACK received at time 1175339000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 1178562000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1178951000
# In  --> Decoder enabled at time 1179650000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1181786000
# 
# ... Reading the UART Status: 0000000f ...
# CntrlTransType = 10
# In  --> In task wait for response at time 1195614000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1195898000
# In  --> Decoder enabled at time 1196632000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1197431000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1200570000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1200959000
# In  --> Decoder enabled at time 1201657000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# ... Write data c5 to UART done cnt :         17 ...
# 
# 
# ... Writing char 170 ...
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1206545000
# In  --> Data toggle recevied is 1001011 at time 1206629000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 1206629000.
# In  --> received raw crc is 24 at time 1206629000.
# In  --> received crc is ffdb at time 1206629000.
# In  --> tmpCrc ffdb, at time 1206629000
# In  --> sending ACK at time 1208550000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 1215102000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1215407000
# In  --> Decoder enabled at time 1216120000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1216919000
# CntrlTransType = 10
# In  --> In task wait for response at time 1228794000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1229099000
# In  --> Decoder enabled at time 1229812000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1230611000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1233750000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1234055000
# In  --> Decoder enabled at time 1234769000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  0d
# ... Read Data from UART done cnt :        10...
# In  --> receive data = 76
# In  --> receive data = 7e
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 3d
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1239662000
# In  --> Data toggle recevied is 1001011 at time 1239746000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 01110110
# In  --> calculated crc is 8143 at time 1239746000.
# In  --> received raw crc is 8143 at time 1239746000.
# In  --> received crc is 7e3d at time 1239746000.
# In  --> tmpCrc 7e3d, at time 1239746000
# In  --> sending ACK at time 1241646000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 01110110  : 118
# In  --> In task wait for response at time 1248198000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1248482000
# In  --> Decoder enabled at time 1249214000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1250015000
# CntrlTransType = 11
# In  --> In task wait for response at time 1261890000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1262195000
# In  --> Decoder enabled at time 1262903000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1263707000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 1266930000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 01110110
# In  --> raw crc is 8143 at time             1266930
# In  --> sent crc is 7e3d at time             1266930
# ... Write data aa to UART done cnt :         18 ...
# 
# 
# ... Writing char 229 ...
# In  --> In task wait for response at time 1272978000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1273283000
# In  --> Decoder enabled at time 1273990000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1274795000
# In  --> bits received are 7
# In  --> ACK received at time 1274795000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 1278018000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1278407000
# In  --> Decoder enabled at time 1279116000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1281263000
# 
# ... Reading the UART Status: 00000076 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 1295070000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1295375000
# In  --> Decoder enabled at time 1296083000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1296887000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1300026000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1300415000
# In  --> Decoder enabled at time 1301123000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1306022000
# In  --> Data toggle recevied is 1001011 at time 1306106000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 1306106000.
# In  --> received raw crc is 24 at time 1306106000.
# In  --> received crc is ffdb at time 1306106000.
# In  --> tmpCrc ffdb, at time 1306106000
# In  --> sending ACK at time 1308006000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 1314558000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1314842000
# In  --> Decoder enabled at time 1315566000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1316354000
# CntrlTransType = 10
# In  --> In task wait for response at time 1328250000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1328534000
# In  --> Decoder enabled at time 1329257000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1330046000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1333206000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1333574000
# ... Write data e5 to UART done cnt :         19 ...
# 
# 
# ... Writing char 119 ...
# In  --> Decoder enabled at time 1334301000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 3d
# In  --> receive data = 3e
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 0a
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1339097000
# In  --> Data toggle recevied is 1001011 at time 1339181000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00111101
# In  --> calculated crc is 83af at time 1339181000.
# In  --> received raw crc is 83af at time 1339181000.
# In  --> received crc is 3e0a at time 1339181000.
# In  --> tmpCrc 3e0a, at time 1339181000
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  76
# ... Read Data from UART done cnt :        11...
# In  --> sending ACK at time 1341102000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00111101  : 61
# In  --> In task wait for response at time 1347654000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1347959000
# In  --> Decoder enabled at time 1348660000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1349471000
# CntrlTransType = 11
# In  --> In task wait for response at time 1361346000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1361651000
# In  --> Decoder enabled at time 1362351000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1363163000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 1366386000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00111101
# In  --> raw crc is 83af at time             1366386
# In  --> sent crc is 3e0a at time             1366386
# In  --> In task wait for response at time 1372350000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1372655000
# In  --> Decoder enabled at time 1373355000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1374167000
# In  --> bits received are 7
# In  --> ACK received at time 1374167000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 1377390000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1377779000
# In  --> Decoder enabled at time 1378482000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1380614000
# 
# ... Reading the UART Status: 0000003f ...
# CntrlTransType = 10
# In  --> In task wait for response at time 1394442000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1394726000
# In  --> Decoder enabled at time 1395445000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1396238000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# ... Write data 77 to UART done cnt :         20 ...
# 
# 
# ... Writing char  18 ...
# In  --> In task wait for response at time 1399398000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1399766000
# In  --> Decoder enabled at time 1400489000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1405373000
# In  --> Data toggle recevied is 1001011 at time 1405457000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 1405457000.
# In  --> received raw crc is 24 at time 1405457000.
# In  --> received crc is ffdb at time 1405457000.
# In  --> tmpCrc ffdb, at time 1405457000
# In  --> sending ACK at time 1407378000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 1413930000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1414235000
# In  --> Decoder enabled at time 1414931000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1415726000
# CntrlTransType = 10
# In  --> In task wait for response at time 1427622000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1427906000
# In  --> Decoder enabled at time 1428623000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1429418000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1432578000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1432946000
# In  --> Decoder enabled at time 1433666000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  3d
# ... Read Data from UART done cnt :        12...
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ed
# In  --> receive data = 3f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 96
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1438553000
# In  --> Data toggle recevied is 1001011 at time 1438637000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 11101101
# In  --> calculated crc is 396 at time 1438637000.
# In  --> received raw crc is 396 at time 1438637000.
# In  --> received crc is 3f96 at time 1438637000.
# In  --> tmpCrc 3f96, at time 1438637000
# In  --> sending ACK at time 1440558000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 11101101  : 237
# In  --> In task wait for response at time 1447110000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1447415000
# In  --> Decoder enabled at time 1448129000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1448927000
# CntrlTransType = 11
# In  --> In task wait for response at time 1460802000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1461107000
# In  --> Decoder enabled at time 1461821000 in host
# ... Write data 12 to UART done cnt :         21 ...
# 
# 
# ... Writing char 143 ...
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1462619000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 1465842000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 11101101
# In  --> raw crc is 396 at time             1465842
# In  --> sent crc is 3f96 at time             1465842
# In  --> In task wait for response at time 1471890000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1472195000
# In  --> Decoder enabled at time 1472908000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1473707000
# In  --> bits received are 7
# In  --> ACK received at time 1473707000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 1476930000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1477319000
# In  --> Decoder enabled at time 1478013000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1480154000
# 
# ... Reading the UART Status: 000000ef ...
# CntrlTransType = 10
# In  --> In task wait for response at time 1493982000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1494266000
# In  --> Decoder enabled at time 1495001000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1495799000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1498938000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1499327000
# In  --> Decoder enabled at time 1500044000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1504934000
# In  --> Data toggle recevied is 1001011 at time 1505018000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 1505018000.
# In  --> received raw crc is 24 at time 1505018000.
# In  --> received crc is ffdb at time 1505018000.
# In  --> tmpCrc ffdb, at time 1505018000
# In  --> sending ACK at time 1506918000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 1513470000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1513754000
# In  --> Decoder enabled at time 1514483000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1515287000
# ... Write data 8f to UART done cnt :         22 ...
# 
# 
# ... Writing char 242 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 1527162000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1527467000
# In  --> Decoder enabled at time 1528178000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1528979000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1532118000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1532423000
# In  --> Decoder enabled at time 1533138000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 8c
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  ed
# ... Read Data from UART done cnt :        13...
# In  --> receive data = fe
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 7e
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1538114000
# In  --> Data toggle recevied is 1001011 at time 1538198000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 10001100
# In  --> calculated crc is 8081 at time 1538198000.
# In  --> received raw crc is 8081 at time 1538198000.
# In  --> received crc is fe7e at time 1538198000.
# In  --> tmpCrc fe7e, at time 1538198000
# In  --> sending ACK at time 1540098000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 10001100  : 140
# In  --> In task wait for response at time 1546650000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1546934000
# In  --> Decoder enabled at time 1547661000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1548446000
# CntrlTransType = 11
# In  --> In task wait for response at time 1560342000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1560626000
# In  --> Decoder enabled at time 1561353000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1562138000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 1565382000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 10001100
# In  --> raw crc is 8081 at time             1565382
# In  --> sent crc is fe7e at time             1565382
# In  --> In task wait for response at time 1571514000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1571798000
# In  --> Decoder enabled at time 1572523000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1573310000
# In  --> bits received are 7
# In  --> ACK received at time 1573310000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 1576554000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1576922000
# In  --> Decoder enabled at time 1577649000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1579778000
# 
# ... Reading the UART Status: 0000008e ...
# ... Write data f2 to UART done cnt :         23 ...
# 
# 
# ... Writing char 206 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 1593606000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1593890000
# In  --> Decoder enabled at time 1594616000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1595402000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1598562000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1598930000
# In  --> Decoder enabled at time 1599656000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1604537000
# In  --> Data toggle recevied is 1001011 at time 1604621000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 1604621000.
# In  --> received raw crc is 24 at time 1604621000.
# In  --> received crc is ffdb at time 1604621000.
# In  --> tmpCrc ffdb, at time 1604621000
# In  --> sending ACK at time 1606542000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 1613094000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1613399000
# In  --> Decoder enabled at time 1614099000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1614911000
# CntrlTransType = 10
# In  --> In task wait for response at time 1626786000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1627091000
# In  --> Decoder enabled at time 1627790000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1628603000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1631742000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1632131000
# In  --> Decoder enabled at time 1632834000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = f9
# In  --> receive data = 3f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 99
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1637717000
# In  --> Data toggle recevied is 1001011 at time 1637801000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 11111001
# In  --> calculated crc is 366 at time 1637801000.
# In  --> received raw crc is 366 at time 1637801000.
# In  --> received crc is 3f99 at time 1637801000.
# In  --> tmpCrc 3f99, at time 1637801000
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  8c
# ... Read Data from UART done cnt :        14...
# In  --> sending ACK at time 1639722000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 11111001  : 249
# In  --> In task wait for response at time 1646274000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1646579000
# In  --> Decoder enabled at time 1647276000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1648070000
# ... Write data ce to UART done cnt :         24 ...
# 
# 
# ... Writing char 232 ...
# CntrlTransType = 11
# In  --> In task wait for response at time 1659966000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1660250000
# In  --> Decoder enabled at time 1660968000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1661762000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 1665006000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 11111001
# In  --> raw crc is 366 at time             1665006
# In  --> sent crc is 3f99 at time             1665006
# In  --> In task wait for response at time 1671054000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1671338000
# In  --> Decoder enabled at time 1672055000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1672850000
# In  --> bits received are 7
# In  --> ACK received at time 1672850000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 1676094000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1676462000
# In  --> Decoder enabled at time 1677184000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1679318000
# 
# ... Reading the UART Status: 000000fb ...
# CntrlTransType = 10
# In  --> In task wait for response at time 1693146000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1693430000
# In  --> Decoder enabled at time 1694166000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1694963000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1698102000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1698491000
# In  --> Decoder enabled at time 1699188000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1704077000
# In  --> Data toggle recevied is 1001011 at time 1704161000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 1704161000.
# In  --> received raw crc is 24 at time 1704161000.
# In  --> received crc is ffdb at time 1704161000.
# In  --> tmpCrc ffdb, at time 1704161000
# In  --> sending ACK at time 1706082000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 1712634000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1712939000
# In  --> Decoder enabled at time 1713654000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1714451000
# ... Write data e8 to UART done cnt :         25 ...
# 
# 
# ... Writing char 197 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 1726326000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1726631000
# In  --> Decoder enabled at time 1727346000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1728143000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1731282000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1731671000
# In  --> Decoder enabled at time 1732366000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  f9
# ... Read Data from UART done cnt :        15...
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = c6
# In  --> receive data = 7f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 89
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1737257000
# In  --> Data toggle recevied is 1001011 at time 1737341000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 11000110
# In  --> calculated crc is 16e at time 1737341000.
# In  --> received raw crc is 16e at time 1737341000.
# In  --> received crc is 7f89 at time 1737341000.
# In  --> tmpCrc 7f89, at time 1737341000
# In  --> sending ACK at time 1739262000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 11000110  : 198
# In  --> In task wait for response at time 1745814000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1746119000
# In  --> Decoder enabled at time 1746829000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1747631000
# CntrlTransType = 11
# In  --> In task wait for response at time 1759506000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1759811000
# In  --> Decoder enabled at time 1760520000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1761323000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 1764546000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 11000110
# In  --> raw crc is 16e at time             1764546
# In  --> sent crc is 7f89 at time             1764546
# In  --> In task wait for response at time 1770594000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1770899000
# In  --> Decoder enabled at time 1771607000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1772411000
# In  --> bits received are 7
# In  --> ACK received at time 1772411000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 1775634000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1775939000
# In  --> Decoder enabled at time 1776651000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1778795000
# 
# ... Reading the UART Status: 000000c6 ...
# ... Write data c5 to UART done cnt :         26 ...
# 
# 
# ... Writing char  92 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 1792602000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1792907000
# In  --> Decoder enabled at time 1793614000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1794419000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1797558000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1797947000
# In  --> Decoder enabled at time 1798658000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1803554000
# In  --> Data toggle recevied is 1001011 at time 1803638000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 1803638000.
# In  --> received raw crc is 24 at time 1803638000.
# In  --> received crc is ffdb at time 1803638000.
# In  --> tmpCrc ffdb, at time 1803638000
# In  --> sending ACK at time 1805538000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 1812090000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1812374000
# In  --> Decoder enabled at time 1813100000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1813886000
# CntrlTransType = 10
# In  --> In task wait for response at time 1825782000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1826066000
# In  --> Decoder enabled at time 1826792000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1827578000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1830738000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1831106000
# In  --> Decoder enabled at time 1831835000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = c5
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  c6
# ... Read Data from UART done cnt :        16...
# In  --> receive data = 3f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 88
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1836713000
# In  --> Data toggle recevied is 1001011 at time 1836797000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 11000101
# In  --> calculated crc is 3ee at time 1836797000.
# In  --> received raw crc is 3ee at time 1836797000.
# In  --> received crc is 3f88 at time 1836797000.
# In  --> tmpCrc 3f88, at time 1836797000
# In  --> sending ACK at time 1838718000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 11000101  : 197
# In  --> In task wait for response at time 1845270000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1845575000
# ... Write data 5c to UART done cnt :         27 ...
# 
# 
# ... Writing char 189 ...
# In  --> Decoder enabled at time 1846280000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1847087000
# CntrlTransType = 11
# In  --> In task wait for response at time 1858962000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1859267000
# In  --> Decoder enabled at time 1859969000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1860779000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 1864002000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 11000101
# In  --> raw crc is 3ee at time             1864002
# In  --> sent crc is 3f88 at time             1864002
# In  --> In task wait for response at time 1870050000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1870355000
# In  --> Decoder enabled at time 1871056000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1871867000
# In  --> bits received are 7
# In  --> ACK received at time 1871867000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 1875090000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1875479000
# In  --> Decoder enabled at time 1876182000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1878314000
# 
# ... Reading the UART Status: 000000c7 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 1892142000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1892426000
# In  --> Decoder enabled at time 1893149000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1893938000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1897098000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1897466000
# In  --> Decoder enabled at time 1898189000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1903073000
# In  --> Data toggle recevied is 1001011 at time 1903157000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 1903157000.
# In  --> received raw crc is 24 at time 1903157000.
# In  --> received crc is ffdb at time 1903157000.
# In  --> tmpCrc ffdb, at time 1903157000
# In  --> sending ACK at time 1905078000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# ... Write data bd to UART done cnt :         28 ...
# 
# 
# ... Writing char  45 ...
# In  --> In task wait for response at time 1911630000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1911935000
# In  --> Decoder enabled at time 1912632000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1913426000
# CntrlTransType = 10
# In  --> In task wait for response at time 1925322000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1925606000
# In  --> Decoder enabled at time 1926323000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1927118000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1930278000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1930646000
# In  --> Decoder enabled at time 1931367000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = aa
# In  --> receive data = 7f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = a4
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1936253000
# In  --> Data toggle recevied is 1001011 at time 1936337000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 10101010
# In  --> calculated crc is 1da at time 1936337000.
# In  --> received raw crc is 1da at time 1936337000.
# In  --> received crc is 7fa4 at time 1936337000.
# In  --> tmpCrc 7fa4, at time 1936337000
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  c5
# ... Read Data from UART done cnt :        17...
# In  --> sending ACK at time 1938258000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 10101010  : 170
# In  --> In task wait for response at time 1944810000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1945115000
# In  --> Decoder enabled at time 1945830000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1946627000
# CntrlTransType = 11
# In  --> In task wait for response at time 1958502000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1958807000
# In  --> Decoder enabled at time 1959522000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1960319000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 1963542000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 10101010
# In  --> raw crc is 1da at time             1963542
# In  --> sent crc is 7fa4 at time             1963542
# In  --> In task wait for response at time 1969590000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1969895000
# In  --> Decoder enabled at time 1970608000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1971407000
# In  --> bits received are 7
# In  --> ACK received at time 1971407000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# ... Write data 2d to UART done cnt :         29 ...
# 
# 
# ... Writing char 101 ...
# In  --> In task wait for response at time 1974630000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1975019000
# In  --> Decoder enabled at time 1975717000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1977854000
# 
# ... Reading the UART Status: 000000aa ...
# CntrlTransType = 10
# In  --> In task wait for response at time 1991682000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1991966000
# In  --> Decoder enabled at time 1992699000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 1993499000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 1996638000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1997027000
# In  --> Decoder enabled at time 1997721000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2002613000
# In  --> Data toggle recevied is 1001011 at time 2002697000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 2002697000.
# In  --> received raw crc is 24 at time 2002697000.
# In  --> received crc is ffdb at time 2002697000.
# In  --> tmpCrc ffdb, at time 2002697000
# In  --> sending ACK at time 2004618000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 2011170000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2011475000
# In  --> Decoder enabled at time 2012187000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2012987000
# CntrlTransType = 10
# In  --> In task wait for response at time 2024862000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2025167000
# In  --> Decoder enabled at time 2025879000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2026679000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2029818000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2030123000
# In  --> Decoder enabled at time 2030839000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  aa
# ... Read Data from UART done cnt :        18...
# In  --> receive data = 00
# In  --> receive data = e5
# In  --> receive data = 3e
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 50
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2035646000
# In  --> Data toggle recevied is 1001011 at time 2035730000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 11100101
# In  --> calculated crc is 83f5 at time 2035730000.
# In  --> received raw crc is 83f5 at time 2035730000.
# In  --> received crc is 3e50 at time 2035730000.
# In  --> tmpCrc 3e50, at time 2035730000
# In  --> sending ACK at time 2037630000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 11100101  : 229
# ... Write data 65 to UART done cnt :         30 ...
# 
# 
# ... Writing char  99 ...
# In  --> In task wait for response at time 2044182000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2044466000
# In  --> Decoder enabled at time 2045195000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2045999000
# CntrlTransType = 11
# In  --> In task wait for response at time 2057874000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2058179000
# In  --> Decoder enabled at time 2058887000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2059691000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 2062914000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 11100101
# In  --> raw crc is 83f5 at time             2062914
# In  --> sent crc is 3e50 at time             2062914
# In  --> In task wait for response at time 2068878000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2069183000
# In  --> Decoder enabled at time 2069893000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2070695000
# In  --> bits received are 7
# In  --> ACK received at time 2070695000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 2073918000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2074307000
# In  --> Decoder enabled at time 2075017000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2077163000
# 
# ... Reading the UART Status: 000000e7 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 2090970000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2091275000
# In  --> Decoder enabled at time 2091981000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2092787000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2095926000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2096315000
# In  --> Decoder enabled at time 2097024000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2101922000
# In  --> Data toggle recevied is 1001011 at time 2102006000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 2102006000.
# In  --> received raw crc is 24 at time 2102006000.
# In  --> received crc is ffdb at time 2102006000.
# In  --> tmpCrc ffdb, at time 2102006000
# ... Write data 63 to UART done cnt :         31 ...
# 
# 
# ... Writing char  10 ...
# In  --> sending ACK at time 2103906000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 2110458000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2110742000
# In  --> Decoder enabled at time 2111469000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2112254000
# CntrlTransType = 10
# In  --> In task wait for response at time 2124150000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2124434000
# In  --> Decoder enabled at time 2125158000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2125946000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2129106000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2129474000
# In  --> Decoder enabled at time 2130204000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 77
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  e5
# ... Read Data from UART done cnt :        19...
# In  --> receive data = bf
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = fd
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2135081000
# In  --> Data toggle recevied is 1001011 at time 2135165000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 01110111
# In  --> calculated crc is 240 at time 2135165000.
# In  --> received raw crc is 240 at time 2135165000.
# In  --> received crc is bffd at time 2135165000.
# In  --> tmpCrc bffd, at time 2135165000
# In  --> sending ACK at time 2137086000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 01110111  : 119
# In  --> In task wait for response at time 2143638000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2143943000
# In  --> Decoder enabled at time 2144643000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2145455000
# CntrlTransType = 11
# In  --> In task wait for response at time 2157330000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2157635000
# In  --> Decoder enabled at time 2158338000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2159147000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 2162370000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 01110111
# In  --> raw crc is 240 at time             2162370
# In  --> sent crc is bffd at time             2162370
# ... Write data 0a to UART done cnt :         32 ...
# 
# 
# ... Writing char 128 ...
# In  --> In task wait for response at time 2168502000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2168807000
# In  --> Decoder enabled at time 2169505000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2170319000
# In  --> bits received are 7
# In  --> ACK received at time 2170319000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 2173542000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2173931000
# In  --> Decoder enabled at time 2174635000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2176766000
# 
# ... Reading the UART Status: 00000077 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 2190594000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2190878000
# In  --> Decoder enabled at time 2191596000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2192390000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2195550000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2195918000
# In  --> Decoder enabled at time 2196642000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2201525000
# In  --> Data toggle recevied is 1001011 at time 2201609000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 2201609000.
# In  --> received raw crc is 24 at time 2201609000.
# In  --> received crc is ffdb at time 2201609000.
# In  --> tmpCrc ffdb, at time 2201609000
# In  --> sending ACK at time 2203530000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 2210082000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2210387000
# In  --> Decoder enabled at time 2211105000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2211899000
# CntrlTransType = 10
# In  --> In task wait for response at time 2223774000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2224079000
# In  --> Decoder enabled at time 2224797000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2225591000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2228730000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2229119000
# In  --> Decoder enabled at time 2229816000 in host
# ... Write data 80 to UART done cnt :         33 ...
# 
# 
# ... Writing char  32 ...
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 12
# In  --> receive data = 7f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d6
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2234705000
# In  --> Data toggle recevied is 1001011 at time 2234789000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00010010
# In  --> calculated crc is 194 at time 2234789000.
# In  --> received raw crc is 194 at time 2234789000.
# In  --> received crc is 7fd6 at time 2234789000.
# In  --> tmpCrc 7fd6, at time 2234789000
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  77
# ... Read Data from UART done cnt :        20...
# In  --> sending ACK at time 2236710000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00010010  : 18
# In  --> In task wait for response at time 2243262000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2243567000
# In  --> Decoder enabled at time 2244279000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2245079000
# CntrlTransType = 11
# In  --> In task wait for response at time 2256954000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2257259000
# In  --> Decoder enabled at time 2257974000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2258771000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 2261994000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00010010
# In  --> raw crc is 194 at time             2261994
# In  --> sent crc is 7fd6 at time             2261994
# In  --> In task wait for response at time 2268042000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2268347000
# In  --> Decoder enabled at time 2269061000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2269859000
# In  --> bits received are 7
# In  --> ACK received at time 2269859000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 2273082000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2273471000
# In  --> Decoder enabled at time 2274185000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2276327000
# 
# ... Reading the UART Status: 00000012 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 2290134000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2290439000
# In  --> Decoder enabled at time 2291149000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2291951000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# ... Write data 20 to UART done cnt :         34 ...
# 
# 
# ... Writing char 170 ...
# In  --> In task wait for response at time 2295090000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2295395000
# In  --> Decoder enabled at time 2296108000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2301002000
# In  --> Data toggle recevied is 1001011 at time 2301086000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 2301086000.
# In  --> received raw crc is 24 at time 2301086000.
# In  --> received crc is ffdb at time 2301086000.
# In  --> tmpCrc ffdb, at time 2301086000
# In  --> sending ACK at time 2302986000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 2309538000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2309822000
# In  --> Decoder enabled at time 2310551000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2311355000
# CntrlTransType = 10
# In  --> In task wait for response at time 2323230000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2323535000
# In  --> Decoder enabled at time 2324242000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2325047000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2328186000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2328575000
# In  --> Decoder enabled at time 2329286000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  12
# ... Read Data from UART done cnt :        21...
# In  --> receive data = 00
# In  --> receive data = 8f
# In  --> receive data = be
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 7f
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2334182000
# In  --> Data toggle recevied is 1001011 at time 2334266000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 10001111
# In  --> calculated crc is 8201 at time 2334266000.
# In  --> received raw crc is 8201 at time 2334266000.
# In  --> received crc is be7f at time 2334266000.
# In  --> tmpCrc be7f, at time 2334266000
# In  --> sending ACK at time 2336166000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 10001111  : 143
# In  --> In task wait for response at time 2342718000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2343002000
# In  --> Decoder enabled at time 2343728000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2344514000
# CntrlTransType = 11
# In  --> In task wait for response at time 2356410000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2356694000
# In  --> Decoder enabled at time 2357420000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2358206000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# ... Write data aa to UART done cnt :         35 ...
# 
# 
# ... Writing char 157 ...
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 2361450000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 10001111
# In  --> raw crc is 8201 at time             2361450
# In  --> sent crc is be7f at time             2361450
# In  --> In task wait for response at time 2367498000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2367782000
# In  --> Decoder enabled at time 2368507000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2369294000
# In  --> bits received are 7
# In  --> ACK received at time 2369294000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 2372538000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2372906000
# In  --> Decoder enabled at time 2373636000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2375762000
# 
# ... Reading the UART Status: 0000008f ...
# CntrlTransType = 10
# In  --> In task wait for response at time 2389590000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2389874000
# In  --> Decoder enabled at time 2390600000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2391386000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2394546000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2394914000
# In  --> Decoder enabled at time 2395643000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2400521000
# In  --> Data toggle recevied is 1001011 at time 2400605000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 2400605000.
# In  --> received raw crc is 24 at time 2400605000.
# In  --> received crc is ffdb at time 2400605000.
# In  --> tmpCrc ffdb, at time 2400605000
# In  --> sending ACK at time 2402526000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 2409078000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2409383000
# In  --> Decoder enabled at time 2410082000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2410895000
# ... Write data 9d to UART done cnt :         36 ...
# 
# 
# ... Writing char 150 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 2422770000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2423075000
# In  --> Decoder enabled at time 2423777000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2424587000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2427726000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2428115000
# In  --> Decoder enabled at time 2428818000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = f2
# In  --> receive data = 7e
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  8f
# ... Read Data from UART done cnt :        22...
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 5e
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2433701000
# In  --> Data toggle recevied is 1001011 at time 2433785000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 11110010
# In  --> calculated crc is 8185 at time 2433785000.
# In  --> received raw crc is 8185 at time 2433785000.
# In  --> received crc is 7e5e at time 2433785000.
# In  --> tmpCrc 7e5e, at time 2433785000
# In  --> sending ACK at time 2435706000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 11110010  : 242
# In  --> In task wait for response at time 2442258000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2442563000
# In  --> Decoder enabled at time 2443260000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2444054000
# CntrlTransType = 11
# In  --> In task wait for response at time 2455950000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2456234000
# In  --> Decoder enabled at time 2456952000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2457746000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 2460990000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 11110010
# In  --> raw crc is 8185 at time             2460990
# In  --> sent crc is 7e5e at time             2460990
# In  --> In task wait for response at time 2467038000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2467322000
# In  --> Decoder enabled at time 2468038000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2468834000
# In  --> bits received are 7
# In  --> ACK received at time 2468834000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 2472078000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2472446000
# In  --> Decoder enabled at time 2473168000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2475302000
# 
# ... Reading the UART Status: 000000f2 ...
# ... Write data 96 to UART done cnt :         37 ...
# 
# 
# ... Writing char  19 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 2489130000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2489414000
# In  --> Decoder enabled at time 2490150000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2490947000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2494086000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2494475000
# In  --> Decoder enabled at time 2495175000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2500061000
# In  --> Data toggle recevied is 1001011 at time 2500145000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 2500145000.
# In  --> received raw crc is 24 at time 2500145000.
# In  --> received crc is ffdb at time 2500145000.
# In  --> tmpCrc ffdb, at time 2500145000
# In  --> sending ACK at time 2502066000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 2508618000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2508923000
# In  --> Decoder enabled at time 2509638000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2510435000
# CntrlTransType = 10
# In  --> In task wait for response at time 2522310000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2522615000
# In  --> Decoder enabled at time 2523330000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2524127000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2527266000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2527655000
# In  --> Decoder enabled at time 2528349000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ce
# In  --> receive data = 7e
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 4f
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2533241000
# In  --> Data toggle recevied is 1001011 at time 2533325000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 11001110
# In  --> calculated crc is 810d at time 2533325000.
# In  --> received raw crc is 810d at time 2533325000.
# In  --> received crc is 7e4f at time 2533325000.
# In  --> tmpCrc 7e4f, at time 2533325000
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  f2
# ... Read Data from UART done cnt :        23...
# In  --> sending ACK at time 2535246000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 11001110  : 206
# In  --> In task wait for response at time 2541798000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2542103000
# In  --> Decoder enabled at time 2542812000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2543615000
# ... Write data 13 to UART done cnt :         38 ...
# 
# 
# ... Writing char  13 ...
# CntrlTransType = 11
# In  --> In task wait for response at time 2555490000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2555795000
# In  --> Decoder enabled at time 2556507000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2557307000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 2560530000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 11001110
# In  --> raw crc is 810d at time             2560530
# In  --> sent crc is 7e4f at time             2560530
# In  --> In task wait for response at time 2566578000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2566883000
# In  --> Decoder enabled at time 2567594000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2568395000
# In  --> bits received are 7
# In  --> ACK received at time 2568395000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 2571618000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2572007000
# In  --> Decoder enabled at time 2572718000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2574863000
# 
# ... Reading the UART Status: 000000ce ...
# CntrlTransType = 10
# In  --> In task wait for response at time 2588670000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2588975000
# In  --> Decoder enabled at time 2589682000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2590487000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2593626000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2594015000
# In  --> Decoder enabled at time 2594725000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2599622000
# In  --> Data toggle recevied is 1001011 at time 2599706000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 2599706000.
# In  --> received raw crc is 24 at time 2599706000.
# In  --> received crc is ffdb at time 2599706000.
# In  --> tmpCrc ffdb, at time 2599706000
# In  --> sending ACK at time 2601606000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 2608158000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2608442000
# In  --> Decoder enabled at time 2609167000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2609954000
# ... Write data 0d to UART done cnt :         39 ...
# 
# 
# ... Writing char  83 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 2621850000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2622134000
# In  --> Decoder enabled at time 2622859000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2623646000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2626806000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2627174000
# In  --> Decoder enabled at time 2627905000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  ce
# ... Read Data from UART done cnt :        24...
# In  --> receive data = 00
# In  --> receive data = e8
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 95
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2632865000
# In  --> Data toggle recevied is 1001011 at time 2632949000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 11101000
# In  --> calculated crc is 56 at time 2632949000.
# In  --> received raw crc is 56 at time 2632949000.
# In  --> received crc is ff95 at time 2632949000.
# In  --> tmpCrc ff95, at time 2632949000
# In  --> sending ACK at time 2634870000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 11101000  : 232
# In  --> In task wait for response at time 2641422000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2641727000
# In  --> Decoder enabled at time 2642428000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2643239000
# CntrlTransType = 11
# In  --> In task wait for response at time 2655114000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2655419000
# In  --> Decoder enabled at time 2656119000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2656931000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 2660154000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 11101000
# In  --> raw crc is 56 at time             2660154
# In  --> sent crc is ff95 at time             2660154
# In  --> In task wait for response at time 2666286000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2666591000
# In  --> Decoder enabled at time 2667290000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2668103000
# In  --> bits received are 7
# In  --> ACK received at time 2668103000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 2671326000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2671715000
# In  --> Decoder enabled at time 2672416000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2674550000
# 
# ... Reading the UART Status: 000000ea ...
# ... Write data 53 to UART done cnt :         40 ...
# 
# CntrlTransType = 10
# In  --> In task wait for response at time 2688378000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2688662000
# In  --> Decoder enabled at time 2689383000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2690174000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2693334000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2693702000
# In  --> Decoder enabled at time 2694423000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2699309000
# In  --> Data toggle recevied is 1001011 at time 2699393000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 2699393000.
# In  --> received raw crc is 24 at time 2699393000.
# In  --> received crc is ffdb at time 2699393000.
# In  --> tmpCrc ffdb, at time 2699393000
# In  --> sending ACK at time 2701314000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 2707866000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2708171000
# In  --> Decoder enabled at time 2708886000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2709683000
# CntrlTransType = 10
# In  --> In task wait for response at time 2721558000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2721863000
# In  --> Decoder enabled at time 2722581000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2723375000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2726514000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2726903000
# In  --> Decoder enabled at time 2727601000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = c5
# In  --> receive data = 3f
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  e8
# ... Read Data from UART done cnt :        25...
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 88
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2732489000
# In  --> Data toggle recevied is 1001011 at time 2732573000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 11000101
# In  --> calculated crc is 3ee at time 2732573000.
# In  --> received raw crc is 3ee at time 2732573000.
# In  --> received crc is 3f88 at time 2732573000.
# In  --> tmpCrc 3f88, at time 2732573000
# In  --> sending ACK at time 2734494000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 11000101  : 197
# In  --> In task wait for response at time 2741046000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2741351000
# In  --> Decoder enabled at time 2742064000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2742863000
# CntrlTransType = 11
# In  --> In task wait for response at time 2754738000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2755043000
# In  --> Decoder enabled at time 2755755000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2756555000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 2759778000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 11000101
# In  --> raw crc is 3ee at time             2759778
# In  --> sent crc is 3f88 at time             2759778
# In  --> In task wait for response at time 2765826000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2766131000
# In  --> Decoder enabled at time 2766842000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2767643000
# In  --> bits received are 7
# In  --> ACK received at time 2767643000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 2770866000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2771255000
# In  --> Decoder enabled at time 2771972000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2774111000
# 
# ... Reading the UART Status: 000000c7 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 2787918000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2788223000
# In  --> Decoder enabled at time 2788933000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2789735000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2792874000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2793179000
# In  --> Decoder enabled at time 2793893000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2798786000
# In  --> Data toggle recevied is 1001011 at time 2798870000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 2798870000.
# In  --> received raw crc is 24 at time 2798870000.
# In  --> received crc is ffdb at time 2798870000.
# In  --> tmpCrc ffdb, at time 2798870000
# In  --> sending ACK at time 2800770000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 2807322000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2807606000
# In  --> Decoder enabled at time 2808335000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2809139000
# CntrlTransType = 10
# In  --> In task wait for response at time 2821014000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2821319000
# In  --> Decoder enabled at time 2822027000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2822831000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2825970000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2826275000
# In  --> Decoder enabled at time 2826987000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 5c
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = e2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2831882000
# In  --> Data toggle recevied is 1001011 at time 2831966000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 01011100
# In  --> calculated crc is b8 at time 2831966000.
# In  --> received raw crc is b8 at time 2831966000.
# In  --> received crc is ffe2 at time 2831966000.
# In  --> tmpCrc ffe2, at time 2831966000
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  c5
# ... Read Data from UART done cnt :        26...
# In  --> sending ACK at time 2833866000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 01011100  : 92
# In  --> In task wait for response at time 2840418000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2840702000
# In  --> Decoder enabled at time 2841429000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2842214000
# CntrlTransType = 11
# In  --> In task wait for response at time 2854110000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2854394000
# In  --> Decoder enabled at time 2855121000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2855906000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 2859150000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 01011100
# In  --> raw crc is b8 at time             2859150
# In  --> sent crc is ffe2 at time             2859150
# In  --> In task wait for response at time 2865198000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2865482000
# In  --> Decoder enabled at time 2866207000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2866994000
# In  --> bits received are 7
# In  --> ACK received at time 2866994000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 2870238000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2870606000
# In  --> Decoder enabled at time 2871337000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2873462000
# 
# ... Reading the UART Status: 0000005e ...
# CntrlTransType = 10
# In  --> In task wait for response at time 2887290000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2887574000
# In  --> Decoder enabled at time 2888298000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2889086000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2892246000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2892614000
# In  --> Decoder enabled at time 2893344000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2898221000
# In  --> Data toggle recevied is 1001011 at time 2898305000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 2898305000.
# In  --> received raw crc is 24 at time 2898305000.
# In  --> received crc is ffdb at time 2898305000.
# In  --> tmpCrc ffdb, at time 2898305000
# In  --> sending ACK at time 2900226000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 2906778000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2907083000
# In  --> Decoder enabled at time 2907786000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2908595000
# CntrlTransType = 10
# In  --> In task wait for response at time 2920470000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2920775000
# In  --> Decoder enabled at time 2921478000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2922287000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2925426000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2925815000
# In  --> Decoder enabled at time 2926518000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  5c
# ... Read Data from UART done cnt :        27...
# In  --> receive data = 00
# In  --> receive data = bd
# In  --> receive data = 3f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = aa
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2931401000
# In  --> Data toggle recevied is 1001011 at time 2931485000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 10111101
# In  --> calculated crc is 3aa at time 2931485000.
# In  --> received raw crc is 3aa at time 2931485000.
# In  --> received crc is 3faa at time 2931485000.
# In  --> tmpCrc 3faa, at time 2931485000
# In  --> sending ACK at time 2933406000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 10111101  : 189
# In  --> In task wait for response at time 2939958000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2940263000
# In  --> Decoder enabled at time 2940961000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2941754000
# CntrlTransType = 11
# In  --> In task wait for response at time 2953650000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2953934000
# In  --> Decoder enabled at time 2954652000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2955446000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 2958690000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 10111101
# In  --> raw crc is 3aa at time             2958690
# In  --> sent crc is 3faa at time             2958690
# In  --> In task wait for response at time 2964738000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2965022000
# In  --> Decoder enabled at time 2965739000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2966534000
# In  --> bits received are 7
# In  --> ACK received at time 2966534000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 2969778000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2970146000
# In  --> Decoder enabled at time 2970869000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2973002000
# 
# ... Reading the UART Status: 000000bf ...
# CntrlTransType = 10
# In  --> In task wait for response at time 2986830000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2987114000
# In  --> Decoder enabled at time 2987830000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2988626000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 2991786000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2992154000
# In  --> Decoder enabled at time 2992876000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 2997761000
# In  --> Data toggle recevied is 1001011 at time 2997845000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 2997845000.
# In  --> received raw crc is 24 at time 2997845000.
# In  --> received crc is ffdb at time 2997845000.
# In  --> tmpCrc ffdb, at time 2997845000
# In  --> sending ACK at time 2999766000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 3006318000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3006623000
# In  --> Decoder enabled at time 3007339000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3008135000
# CntrlTransType = 10
# In  --> In task wait for response at time 3020010000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3020315000
# In  --> Decoder enabled at time 3021028000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3021827000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3024966000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3025355000
# In  --> Decoder enabled at time 3026053000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 2d
# In  --> receive data = 3f
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  bd
# ... Read Data from UART done cnt :        28...
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = c6
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3030941000
# In  --> Data toggle recevied is 1001011 at time 3031025000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00101101
# In  --> calculated crc is 39c at time 3031025000.
# In  --> received raw crc is 39c at time 3031025000.
# In  --> received crc is 3fc6 at time 3031025000.
# In  --> tmpCrc 3fc6, at time 3031025000
# In  --> sending ACK at time 3032946000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00101101  : 45
# In  --> In task wait for response at time 3039498000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3039803000
# In  --> Decoder enabled at time 3040516000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3041315000
# CntrlTransType = 11
# In  --> In task wait for response at time 3053190000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3053495000
# In  --> Decoder enabled at time 3054208000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3055007000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 3058230000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00101101
# In  --> raw crc is 39c at time             3058230
# In  --> sent crc is 3fc6 at time             3058230
# In  --> In task wait for response at time 3064278000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3064583000
# In  --> Decoder enabled at time 3065295000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3066095000
# In  --> bits received are 7
# In  --> ACK received at time 3066095000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 3069318000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3069623000
# In  --> Decoder enabled at time 3070338000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3072479000
# 
# ... Reading the UART Status: 0000002f ...
# CntrlTransType = 10
# In  --> In task wait for response at time 3086286000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3086591000
# In  --> Decoder enabled at time 3087302000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3088103000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3091242000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3091547000
# In  --> Decoder enabled at time 3092262000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3097154000
# In  --> Data toggle recevied is 1001011 at time 3097238000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 3097238000.
# In  --> received raw crc is 24 at time 3097238000.
# In  --> received crc is ffdb at time 3097238000.
# In  --> tmpCrc ffdb, at time 3097238000
# In  --> sending ACK at time 3099138000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 3105690000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3105974000
# In  --> Decoder enabled at time 3106704000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3107486000
# CntrlTransType = 10
# In  --> In task wait for response at time 3119382000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3119666000
# In  --> Decoder enabled at time 3120396000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3121178000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3124338000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3124706000
# In  --> Decoder enabled at time 3125439000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 65
# In  --> receive data = 3f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = f0
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3130334000
# In  --> Data toggle recevied is 1001011 at time 3130418000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 01100101
# In  --> calculated crc is 3f0 at time 3130418000.
# In  --> received raw crc is 3f0 at time 3130418000.
# In  --> received crc is 3ff0 at time 3130418000.
# In  --> tmpCrc 3ff0, at time 3130418000
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  2d
# ... Read Data from UART done cnt :        29...
# In  --> sending ACK at time 3132318000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 01100101  : 101
# In  --> In task wait for response at time 3138870000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3139154000
# In  --> Decoder enabled at time 3139878000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3140666000
# CntrlTransType = 11
# In  --> In task wait for response at time 3152562000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3152846000
# In  --> Decoder enabled at time 3153573000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3154358000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 3157602000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 01100101
# In  --> raw crc is 3f0 at time             3157602
# In  --> sent crc is 3ff0 at time             3157602
# In  --> In task wait for response at time 3163650000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3163934000
# In  --> Decoder enabled at time 3164660000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3165446000
# In  --> bits received are 7
# In  --> ACK received at time 3165446000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 3168690000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3169058000
# In  --> Decoder enabled at time 3169784000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3171914000
# 
# ... Reading the UART Status: 00000067 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 3185742000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3186026000
# In  --> Decoder enabled at time 3186748000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3187538000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3190698000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3191066000
# In  --> Decoder enabled at time 3191791000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3196673000
# In  --> Data toggle recevied is 1001011 at time 3196757000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 3196757000.
# In  --> received raw crc is 24 at time 3196757000.
# In  --> received crc is ffdb at time 3196757000.
# In  --> tmpCrc ffdb, at time 3196757000
# In  --> sending ACK at time 3198678000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 3205230000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3205535000
# In  --> Decoder enabled at time 3206233000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3207047000
# CntrlTransType = 10
# In  --> In task wait for response at time 3218922000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3219227000
# In  --> Decoder enabled at time 3219925000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3220739000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3223878000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3224267000
# In  --> Decoder enabled at time 3224971000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  65
# ... Read Data from UART done cnt :        30...
# In  --> receive data = 63
# In  --> receive data = bf
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = f2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3229853000
# In  --> Data toggle recevied is 1001011 at time 3229937000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 01100011
# In  --> calculated crc is 2b0 at time 3229937000.
# In  --> received raw crc is 2b0 at time 3229937000.
# In  --> received crc is bff2 at time 3229937000.
# In  --> tmpCrc bff2, at time 3229937000
# In  --> sending ACK at time 3231858000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 01100011  : 99
# In  --> In task wait for response at time 3238410000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3238715000
# In  --> Decoder enabled at time 3239413000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3240206000
# CntrlTransType = 11
# In  --> In task wait for response at time 3252102000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3252386000
# In  --> Decoder enabled at time 3253105000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3253898000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 3257142000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 01100011
# In  --> raw crc is 2b0 at time             3257142
# In  --> sent crc is bff2 at time             3257142
# In  --> In task wait for response at time 3263190000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3263474000
# In  --> Decoder enabled at time 3264210000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3265007000
# In  --> bits received are 7
# In  --> ACK received at time 3265007000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 3268230000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3268619000
# In  --> Decoder enabled at time 3269316000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3271454000
# 
# ... Reading the UART Status: 00000063 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 3285282000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3285566000
# In  --> Decoder enabled at time 3286303000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3287099000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3290238000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3290627000
# In  --> Decoder enabled at time 3291323000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3296213000
# In  --> Data toggle recevied is 1001011 at time 3296297000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 3296297000.
# In  --> received raw crc is 24 at time 3296297000.
# In  --> received crc is ffdb at time 3296297000.
# In  --> tmpCrc ffdb, at time 3296297000
# In  --> sending ACK at time 3298218000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 3304770000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3305075000
# In  --> Decoder enabled at time 3305786000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3306587000
# CntrlTransType = 10
# In  --> In task wait for response at time 3318462000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3318767000
# In  --> Decoder enabled at time 3319477000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3320279000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3323418000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3323807000
# In  --> Decoder enabled at time 3324521000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 0a
# In  --> receive data = 7f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = dc
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  63
# ... Read Data from UART done cnt :        31...
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3329414000
# In  --> Data toggle recevied is 1001011 at time 3329498000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00001010
# In  --> calculated crc is 1c4 at time 3329498000.
# In  --> received raw crc is 1c4 at time 3329498000.
# In  --> received crc is 7fdc at time 3329498000.
# In  --> tmpCrc 7fdc, at time 3329498000
# In  --> sending ACK at time 3331398000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00001010  : 10
# In  --> In task wait for response at time 3337950000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3338234000
# In  --> Decoder enabled at time 3338963000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3339767000
# CntrlTransType = 11
# In  --> In task wait for response at time 3351642000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3351947000
# In  --> Decoder enabled at time 3352655000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3353459000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 3356682000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00001010
# In  --> raw crc is 1c4 at time             3356682
# In  --> sent crc is 7fdc at time             3356682
# In  --> In task wait for response at time 3362730000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3363035000
# In  --> Decoder enabled at time 3363742000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3364547000
# In  --> bits received are 7
# In  --> ACK received at time 3364547000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 3367770000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3368159000
# In  --> Decoder enabled at time 3368871000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3371015000
# 
# ... Reading the UART Status: 0000000a ...
# CntrlTransType = 10
# In  --> In task wait for response at time 3384822000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3385127000
# In  --> Decoder enabled at time 3385835000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3386639000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3389778000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3390167000
# In  --> Decoder enabled at time 3390875000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3395774000
# In  --> Data toggle recevied is 1001011 at time 3395858000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 3395858000.
# In  --> received raw crc is 24 at time 3395858000.
# In  --> received crc is ffdb at time 3395858000.
# In  --> tmpCrc ffdb, at time 3395858000
# In  --> sending ACK at time 3397758000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 3404310000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3404594000
# In  --> Decoder enabled at time 3405317000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3406106000
# CntrlTransType = 10
# In  --> In task wait for response at time 3418002000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3418286000
# In  --> Decoder enabled at time 3419009000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3419798000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3422958000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3423326000
# In  --> Decoder enabled at time 3424053000 in host
# In  --> receive data = 4b
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  0a
# ... Read Data from UART done cnt :        32...
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 80
# In  --> receive data = fe
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 7b
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3428933000
# In  --> Data toggle recevied is 1001011 at time 3429017000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 10000000
# In  --> calculated crc is 8021 at time 3429017000.
# In  --> received raw crc is 8021 at time 3429017000.
# In  --> received crc is fe7b at time 3429017000.
# In  --> tmpCrc fe7b, at time 3429017000
# In  --> sending ACK at time 3430938000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 10000000  : 128
# In  --> In task wait for response at time 3437490000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3437795000
# In  --> Decoder enabled at time 3438495000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3439307000
# CntrlTransType = 11
# In  --> In task wait for response at time 3451182000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3451487000
# In  --> Decoder enabled at time 3452187000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3452999000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 3456222000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 10000000
# In  --> raw crc is 8021 at time             3456222
# In  --> sent crc is fe7b at time             3456222
# In  --> In task wait for response at time 3462270000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3462575000
# In  --> Decoder enabled at time 3463273000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3464087000
# In  --> bits received are 7
# In  --> ACK received at time 3464087000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 3467310000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3467699000
# In  --> Decoder enabled at time 3468403000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3470534000
# 
# ... Reading the UART Status: 00000082 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 3484362000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3484646000
# In  --> Decoder enabled at time 3485364000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3486158000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3489318000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3489686000
# In  --> Decoder enabled at time 3490410000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3495293000
# In  --> Data toggle recevied is 1001011 at time 3495377000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 3495377000.
# In  --> received raw crc is 24 at time 3495377000.
# In  --> received crc is ffdb at time 3495377000.
# In  --> tmpCrc ffdb, at time 3495377000
# In  --> sending ACK at time 3497298000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 3503850000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3504155000
# In  --> Decoder enabled at time 3504873000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3505667000
# CntrlTransType = 10
# In  --> In task wait for response at time 3517542000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3517847000
# In  --> Decoder enabled at time 3518565000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3519359000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3522498000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3522887000
# In  --> Decoder enabled at time 3523584000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  80
# ... Read Data from UART done cnt :        33...
# In  --> receive data = 20
# In  --> receive data = fe
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 03
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3528473000
# In  --> Data toggle recevied is 1001011 at time 3528557000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00100000
# In  --> calculated crc is 803f at time 3528557000.
# In  --> received raw crc is 803f at time 3528557000.
# In  --> received crc is fe03 at time 3528557000.
# In  --> tmpCrc fe03, at time 3528557000
# In  --> sending ACK at time 3530478000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00100000  : 32
# In  --> In task wait for response at time 3537030000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3537335000
# In  --> Decoder enabled at time 3538047000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3538847000
# CntrlTransType = 11
# In  --> In task wait for response at time 3550722000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3551027000
# In  --> Decoder enabled at time 3551742000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3552539000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 3555762000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00100000
# In  --> raw crc is 803f at time             3555762
# In  --> sent crc is fe03 at time             3555762
# In  --> In task wait for response at time 3561810000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3562115000
# In  --> Decoder enabled at time 3562829000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3563627000
# In  --> bits received are 7
# In  --> ACK received at time 3563627000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 3566850000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3567239000
# In  --> Decoder enabled at time 3567953000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3570095000
# 
# ... Reading the UART Status: 00000022 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 3583902000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3584207000
# In  --> Decoder enabled at time 3584917000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3585719000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3588858000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3589163000
# In  --> Decoder enabled at time 3589876000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3594770000
# In  --> Data toggle recevied is 1001011 at time 3594854000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 3594854000.
# In  --> received raw crc is 24 at time 3594854000.
# In  --> received crc is ffdb at time 3594854000.
# In  --> tmpCrc ffdb, at time 3594854000
# In  --> sending ACK at time 3596754000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 3603306000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3603590000
# In  --> Decoder enabled at time 3604319000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3605123000
# CntrlTransType = 10
# In  --> In task wait for response at time 3616998000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3617303000
# In  --> Decoder enabled at time 3618010000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3618815000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3621954000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3622343000
# In  --> Decoder enabled at time 3623054000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = aa
# In  --> receive data = 7f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = a4
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3627950000
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  20
# ... Read Data from UART done cnt :        34...
# In  --> Data toggle recevied is 1001011 at time 3628034000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 10101010
# In  --> calculated crc is 1da at time 3628034000.
# In  --> received raw crc is 1da at time 3628034000.
# In  --> received crc is 7fa4 at time 3628034000.
# In  --> tmpCrc 7fa4, at time 3628034000
# In  --> sending ACK at time 3629934000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 10101010  : 170
# In  --> In task wait for response at time 3636486000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3636770000
# In  --> Decoder enabled at time 3637496000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3638282000
# CntrlTransType = 11
# In  --> In task wait for response at time 3650178000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3650462000
# In  --> Decoder enabled at time 3651188000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3651974000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 3655218000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 10101010
# In  --> raw crc is 1da at time             3655218
# In  --> sent crc is 7fa4 at time             3655218
# In  --> In task wait for response at time 3661266000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3661550000
# In  --> Decoder enabled at time 3662275000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3663062000
# In  --> bits received are 7
# In  --> ACK received at time 3663062000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 3666306000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3666674000
# In  --> Decoder enabled at time 3667404000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3669530000
# 
# ... Reading the UART Status: 000000aa ...
# CntrlTransType = 10
# In  --> In task wait for response at time 3683358000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3683642000
# In  --> Decoder enabled at time 3684368000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3685154000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3688314000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3688682000
# In  --> Decoder enabled at time 3689408000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3694289000
# In  --> Data toggle recevied is 1001011 at time 3694373000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 3694373000.
# In  --> received raw crc is 24 at time 3694373000.
# In  --> received crc is ffdb at time 3694373000.
# In  --> tmpCrc ffdb, at time 3694373000
# In  --> sending ACK at time 3696294000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 3702846000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3703151000
# In  --> Decoder enabled at time 3703850000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3704663000
# CntrlTransType = 10
# In  --> In task wait for response at time 3716538000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3716843000
# In  --> Decoder enabled at time 3717542000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3718355000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3721494000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3721883000
# In  --> Decoder enabled at time 3722586000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  aa
# ... Read Data from UART done cnt :        35...
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 9d
# In  --> receive data = 3e
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 72
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3727385000
# In  --> Data toggle recevied is 1001011 at time 3727469000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 10011101
# In  --> calculated crc is 83b1 at time 3727469000.
# In  --> received raw crc is 83b1 at time 3727469000.
# In  --> received crc is 3e72 at time 3727469000.
# In  --> tmpCrc 3e72, at time 3727469000
# In  --> sending ACK at time 3729390000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 10011101  : 157
# In  --> In task wait for response at time 3735942000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3736247000
# In  --> Decoder enabled at time 3736944000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3737738000
# CntrlTransType = 11
# In  --> In task wait for response at time 3749634000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3749918000
# In  --> Decoder enabled at time 3750639000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3751430000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 3754674000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 10011101
# In  --> raw crc is 83b1 at time             3754674
# In  --> sent crc is 3e72 at time             3754674
# In  --> In task wait for response at time 3760638000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3760922000
# In  --> Decoder enabled at time 3761640000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3762434000
# In  --> bits received are 7
# In  --> ACK received at time 3762434000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 3765678000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3766046000
# In  --> Decoder enabled at time 3766766000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3768902000
# 
# ... Reading the UART Status: 0000009f ...
# CntrlTransType = 10
# In  --> In task wait for response at time 3782730000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3783014000
# In  --> Decoder enabled at time 3783733000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3784526000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3787686000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3788054000
# In  --> Decoder enabled at time 3788773000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3793661000
# In  --> Data toggle recevied is 1001011 at time 3793745000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 3793745000.
# In  --> received raw crc is 24 at time 3793745000.
# In  --> received crc is ffdb at time 3793745000.
# In  --> tmpCrc ffdb, at time 3793745000
# In  --> sending ACK at time 3795666000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 3802218000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3802523000
# In  --> Decoder enabled at time 3803236000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3804035000
# CntrlTransType = 10
# In  --> In task wait for response at time 3815910000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3816215000
# In  --> Decoder enabled at time 3816931000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3817727000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3820866000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3821255000
# In  --> Decoder enabled at time 3821951000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 96
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  9d
# ... Read Data from UART done cnt :        36...
# In  --> receive data = 7f
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = b5
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3826841000
# In  --> Data toggle recevied is 1001011 at time 3826925000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 10010110
# In  --> calculated crc is 152 at time 3826925000.
# In  --> received raw crc is 152 at time 3826925000.
# In  --> received crc is 7fb5 at time 3826925000.
# In  --> tmpCrc 7fb5, at time 3826925000
# In  --> sending ACK at time 3828846000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 10010110  : 150
# In  --> In task wait for response at time 3835398000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3835703000
# In  --> Decoder enabled at time 3836414000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3837215000
# CntrlTransType = 11
# In  --> In task wait for response at time 3849090000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3849395000
# In  --> Decoder enabled at time 3850106000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3850907000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 3854130000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 10010110
# In  --> raw crc is 152 at time             3854130
# In  --> sent crc is 7fb5 at time             3854130
# In  --> In task wait for response at time 3860178000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3860483000
# In  --> Decoder enabled at time 3861192000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3861995000
# In  --> bits received are 7
# In  --> ACK received at time 3861995000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 3865218000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3865523000
# In  --> Decoder enabled at time 3866236000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3868379000
# 
# ... Reading the UART Status: 00000096 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 3882186000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3882491000
# In  --> Decoder enabled at time 3883199000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3884003000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3887142000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3887447000
# In  --> Decoder enabled at time 3888159000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3893054000
# In  --> Data toggle recevied is 1001011 at time 3893138000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 3893138000.
# In  --> received raw crc is 24 at time 3893138000.
# In  --> received crc is ffdb at time 3893138000.
# In  --> tmpCrc ffdb, at time 3893138000
# In  --> sending ACK at time 3895038000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 3901590000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3901874000
# In  --> Decoder enabled at time 3902602000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3903386000
# CntrlTransType = 10
# In  --> In task wait for response at time 3915282000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3915566000
# In  --> Decoder enabled at time 3916293000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3917078000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3920238000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3920606000
# In  --> Decoder enabled at time 3921337000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 13
# In  --> receive data = be
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 16
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3926150000
# In  --> Data toggle recevied is 1001011 at time 3926234000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00010011
# In  --> calculated crc is 8297 at time 3926234000.
# In  --> received raw crc is 8297 at time 3926234000.
# In  --> received crc is be16 at time 3926234000.
# In  --> tmpCrc be16, at time 3926234000
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  96
# ... Read Data from UART done cnt :        37...
# In  --> sending ACK at time 3928134000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00010011  : 19
# In  --> In task wait for response at time 3934686000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3934970000
# In  --> Decoder enabled at time 3935695000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3936482000
# CntrlTransType = 11
# In  --> In task wait for response at time 3948378000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3948662000
# In  --> Decoder enabled at time 3949387000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3950174000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 3953418000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00010011
# In  --> raw crc is 8297 at time             3953418
# In  --> sent crc is be16 at time             3953418
# In  --> In task wait for response at time 3959382000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3959666000
# In  --> Decoder enabled at time 3960391000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3961178000
# In  --> bits received are 7
# In  --> ACK received at time 3961178000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 3964422000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3964790000
# In  --> Decoder enabled at time 3965517000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3967646000
# 
# ... Reading the UART Status: 00000013 ...
# CntrlTransType = 10
# In  --> In task wait for response at time 3981474000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3981758000
# In  --> Decoder enabled at time 3982484000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3983270000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 3986430000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3986798000
# In  --> Decoder enabled at time 3987525000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 3992405000
# In  --> Data toggle recevied is 1001011 at time 3992489000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 3992489000.
# In  --> received raw crc is 24 at time 3992489000.
# In  --> received crc is ffdb at time 3992489000.
# In  --> tmpCrc ffdb, at time 3992489000
# In  --> sending ACK at time 3994410000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 4000962000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4001267000
# In  --> Decoder enabled at time 4001967000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4002779000
# CntrlTransType = 10
# In  --> In task wait for response at time 4014654000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4014959000
# In  --> Decoder enabled at time 4015659000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4016471000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 4019610000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4019999000
# In  --> Decoder enabled at time 4020702000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  13
# ... Read Data from UART done cnt :        38...
# In  --> receive data = 00
# In  --> receive data = 0d
# In  --> receive data = 3e
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 1e
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4025501000
# In  --> Data toggle recevied is 1001011 at time 4025585000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00001101
# In  --> calculated crc is 8387 at time 4025585000.
# In  --> received raw crc is 8387 at time 4025585000.
# In  --> received crc is 3e1e at time 4025585000.
# In  --> tmpCrc 3e1e, at time 4025585000
# In  --> sending ACK at time 4027506000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00001101  : 13
# In  --> In task wait for response at time 4034058000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4034363000
# In  --> Decoder enabled at time 4035061000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4035854000
# CntrlTransType = 11
# In  --> In task wait for response at time 4047750000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4048034000
# In  --> Decoder enabled at time 4048752000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4049546000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 4052790000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 00001101
# In  --> raw crc is 8387 at time             4052790
# In  --> sent crc is 3e1e at time             4052790
# In  --> In task wait for response at time 4058754000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4059038000
# In  --> Decoder enabled at time 4059759000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4060550000
# In  --> bits received are 7
# In  --> ACK received at time 4060550000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 4063794000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4064162000
# In  --> Decoder enabled at time 4064883000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4067018000
# 
# ... Reading the UART Status: 0000000f ...
# CntrlTransType = 10
# In  --> In task wait for response at time 4080846000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4081130000
# In  --> Decoder enabled at time 4081846000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4082642000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 4085802000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4086170000
# In  --> Decoder enabled at time 4086890000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = ff
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = db
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4091777000
# In  --> Data toggle recevied is 1001011 at time 4091861000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 00000000
# In  --> calculated crc is 24 at time 4091861000.
# In  --> received raw crc is 24 at time 4091861000.
# In  --> received crc is ffdb at time 4091861000.
# In  --> tmpCrc ffdb, at time 4091861000
# In  --> sending ACK at time 4093782000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 00000000  : 0
# In  --> In task wait for response at time 4100334000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4100639000
# In  --> Decoder enabled at time 4101353000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4102151000
# CntrlTransType = 10
# In  --> In task wait for response at time 4114026000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4114331000
# In  --> Decoder enabled at time 4115044000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4115843000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# CntrlTransType = 10
# In  --> In task wait for response at time 4118982000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4119371000
# In  --> Decoder enabled at time 4120067000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 00
# In  --> receive data = 53
# In  --> receive data = bf
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  0d
# ... Read Data from UART done cnt :        39...
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = e6
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4124957000
# In  --> Data toggle recevied is 1001011 at time 4125041000
# In  --> received byte[1] = 00000000
# In  --> received byte[2] = 00000000
# In  --> received byte[3] = 00000000
# In  --> received byte[4] = 01010011
# In  --> calculated crc is 298 at time 4125041000.
# In  --> received raw crc is 298 at time 4125041000.
# In  --> received crc is bfe6 at time 4125041000.
# In  --> tmpCrc bfe6, at time 4125041000
# In  --> sending ACK at time 4126962000
# RecvBuffer[0]  = 00000000  : 0
# RecvBuffer[1]  = 00000000  : 0
# RecvBuffer[2]  = 00000000  : 0
# RecvBuffer[3]  = 01010011  : 83
# In  --> In task wait for response at time 4133514000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4133819000
# In  --> Decoder enabled at time 4134530000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4135331000
# CntrlTransType = 11
# In  --> In task wait for response at time 4147206000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4147511000
# In  --> Decoder enabled at time 4148222000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4149023000
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
# In  --> DataToggle is 1
# In  --> DataToggle is 4b at time 4152246000.
# In  --> sending byte[1] = 00000000
# In  --> sending byte[2] = 00000000
# In  --> sending byte[3] = 00000000
# In  --> sending byte[4] = 01010011
# In  --> raw crc is 298 at time             4152246
# In  --> sent crc is bfe6 at time             4152246
# In  --> In task wait for response at time 4158294000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4158599000
# In  --> Decoder enabled at time 4159309000 in host
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = d2
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4160111000
# In  --> bits received are 7
# In  --> ACK received at time 4160111000.
# Input Address:01, EndPt:0
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0 
# In  CntrlTransType = 11, WRITE = 11
# In  --> In task wait for response at time 4163334000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4163639000
# In  --> Decoder enabled at time 4164352000 in host
# In  --> receive data = 4b
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> receive data = 00
# In  --> StopTime = x, SE0StartTime = x
# In  --> EOP asserted for 2 bit time at time 4166495000
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  53
# ... Read Data from UART done cnt :        40...
# -------------------- Reporting Configuration --------------------
#       Data bit number setting is : 8
#       Stop bit number setting is : 1
#       Divisor of Uart clock   is : 15
#       Parity is enable
#       Even parity setting
#       FIFO mode is disable
# -----------------------------------------------------------------
# -------------------- Reporting Status --------------------
# 
#       Number of character received is :    40
#       Number of character sent     is :    40
#       Number of parity error rxd   is :     0
#       Number of stop1  error rxd   is :     0
#       Number of stop2  error rxd   is :     0
#       Number of timeout error      is :     0
#       Number of error              is :     0
# -----------------------------------------------------------------
# ** Note: $finish    : ../tb/tb.v(247)
#    Time: 4225639240 ps  Iteration: 0  Instance: /tb

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.