OpenCores
URL https://opencores.org/ocsvn/vspi/vspi/trunk

Subversion Repositories vspi

[/] [vspi/] [trunk/] [projnav/] [xps/] [pcores/] [spiifc_v1_00_a/] [devl/] [projnav/] [tb_writereg.wcfg] - Rev 14

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="C:/Users/mjlyons/workspace/vSPI/projnav/xps/pcores/spiifc_v1_00_a/devl/projnav/spiifc_writereg_tb_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="glbl" />
            <top_module name="spiifc_writereg_tb" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="33" />
   <wvobject fp_name="/spiifc_writereg_tb/SPI_MISO" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">SPI_MISO</obj_property>
      <obj_property name="ObjectShortName">SPI_MISO</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/txMemAddr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">txMemAddr[11:0]</obj_property>
      <obj_property name="ObjectShortName">txMemAddr[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/rcMemAddr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">rcMemAddr[11:0]</obj_property>
      <obj_property name="ObjectShortName">rcMemAddr[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/rcMemData" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">rcMemData[7:0]</obj_property>
      <obj_property name="ObjectShortName">rcMemData[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/rcMemWE" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rcMemWE</obj_property>
      <obj_property name="ObjectShortName">rcMemWE</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/debug_out" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">debug_out[7:0]</obj_property>
      <obj_property name="ObjectShortName">debug_out[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/Reset" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">Reset</obj_property>
      <obj_property name="ObjectShortName">Reset</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/SysClk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">SysClk</obj_property>
      <obj_property name="ObjectShortName">SysClk</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/SPI_CLK" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">SPI_CLK</obj_property>
      <obj_property name="ObjectShortName">SPI_CLK</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/SPI_MOSI" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">SPI_MOSI</obj_property>
      <obj_property name="ObjectShortName">SPI_MOSI</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/SPI_SS" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">SPI_SS</obj_property>
      <obj_property name="ObjectShortName">SPI_SS</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/txMemData" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">txMemData[7:0]</obj_property>
      <obj_property name="ObjectShortName">txMemData[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/SPI_CLK_en" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">SPI_CLK_en</obj_property>
      <obj_property name="ObjectShortName">SPI_CLK_en</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/fdRcBytes" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">fdRcBytes[31:0]</obj_property>
      <obj_property name="ObjectShortName">fdRcBytes[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/fdTxBytes" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">fdTxBytes[31:0]</obj_property>
      <obj_property name="ObjectShortName">fdTxBytes[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/dummy" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">dummy[31:0]</obj_property>
      <obj_property name="ObjectShortName">dummy[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/currRcByte" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">currRcByte[31:0]</obj_property>
      <obj_property name="ObjectShortName">currRcByte[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/rcBytesNotEmpty" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">rcBytesNotEmpty[31:0]</obj_property>
      <obj_property name="ObjectShortName">rcBytesNotEmpty[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/rcBytesStr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">rcBytesStr[80:1]</obj_property>
      <obj_property name="ObjectShortName">rcBytesStr[80:1]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/regAddr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">regAddr[3:0]</obj_property>
      <obj_property name="ObjectShortName">regAddr[3:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/regReadData" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">regReadData[31:0]</obj_property>
      <obj_property name="ObjectShortName">regReadData[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/regWriteEn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">regWriteEn</obj_property>
      <obj_property name="ObjectShortName">regWriteEn</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/regWriteData" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">regWriteData[31:0]</obj_property>
      <obj_property name="ObjectShortName">regWriteData[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/rcByteValid" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rcByteValid</obj_property>
      <obj_property name="ObjectShortName">rcByteValid</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/rcByte" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">rcByte[7:0]</obj_property>
      <obj_property name="ObjectShortName">rcByte[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/state" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">state[7:0]</obj_property>
      <obj_property name="ObjectShortName">state[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/state_reg" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">state_reg[7:0]</obj_property>
      <obj_property name="ObjectShortName">state_reg[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/command" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">command[7:0]</obj_property>
      <obj_property name="ObjectShortName">command[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/rcWordByteId" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">rcWordByteId[1:0]</obj_property>
      <obj_property name="ObjectShortName">rcWordByteId[1:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/rcWord" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">rcWord[31:0]</obj_property>
      <obj_property name="ObjectShortName">rcWord[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/regReadByte_oreg" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">regReadByte_oreg[7:0]</obj_property>
      <obj_property name="ObjectShortName">regReadByte_oreg[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/txBitIndex" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">txBitIndex[2:0]</obj_property>
      <obj_property name="ObjectShortName">txBitIndex[2:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/spiifc_writereg_tb/uut/txBitIndex_reg" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">txBitIndex_reg[2:0]</obj_property>
      <obj_property name="ObjectShortName">txBitIndex_reg[2:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.