OpenCores
URL https://opencores.org/ocsvn/vtach/vtach/trunk

Subversion Repositories vtach

[/] [vtach/] [trunk/] [vtachspartan.gise] - Rev 2

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">

  <!--                                                          -->

  <!--             For tool use only. Do not edit.              -->

  <!--                                                          -->

  <!-- ProjectNavigator created generated project file.         -->

  <!-- For use in tracking generated file and other information -->

  <!-- allowing preservation of process status.                 -->

  <!--                                                          -->

  <!-- Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved. -->

  <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>

  <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="vtachspartan.xise"/>

  <files xmlns="http://www.xilinx.com/XMLSchema">
    <file xil_pn:fileType="FILE_LOG" xil_pn:name="_impactbatch.log"/>
    <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="_ngo"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/bitgen.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/map.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/par.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/trce.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/xst.xmsgs"/>
    <file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="bcdadd_tb_beh.prj"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="bcdadd_tb_isim_beh.exe"/>
    <file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="bcdadd_tb_isim_beh.wdb"/>
    <file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="bcdadd_tb_stx_beh.prj"/>
    <file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="display_stx_beh.prj"/>
    <file xil_pn:fileType="FILE_LOG" xil_pn:name="fuse.log"/>
    <file xil_pn:fileType="FILE_LOG" xil_pn:name="ipcore_dir/coregen.log"/>
    <file xil_pn:fileType="FILE_VERILOG" xil_pn:name="ipcore_dir/mainclock.v" xil_pn:origination="imported"/>
    <file xil_pn:fileType="FILE_CMD" xil_pn:name="ise_impact.cmd"/>
    <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="isim"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_CMD" xil_pn:name="isim.cmd"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_LOG" xil_pn:name="isim.log"/>
    <file xil_pn:fileType="FILE_VERILOG_INSTTEMPLATE" xil_pn:name="mainclock.tfi"/>
    <file xil_pn:fileType="FILE_VERILOG" xil_pn:name="mainclock.v">
      <branch xil_pn:name="Implementation"/>
      <branch xil_pn:name="BehavioralSim"/>
    </file>
    <file xil_pn:fileType="FILE_UCF" xil_pn:name="mainclock_arwz.ucf" xil_pn:origination="imported"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="memory_isim_beh.exe"/>
    <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="planAhead_run_1"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_REPORT" xil_pn:name="top.bgn" xil_pn:subbranch="FPGAConfiguration"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BIT" xil_pn:name="top.bit" xil_pn:subbranch="FPGAConfiguration"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGDBUILD_LOG" xil_pn:name="top.bld"/>
    <file xil_pn:fileType="FILE_CMD_LOG" xil_pn:name="top.cmd_log"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_DRC" xil_pn:name="top.drc" xil_pn:subbranch="FPGAConfiguration"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_LSO" xil_pn:name="top.lso"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="top.ncd" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="top.ngc"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGD" xil_pn:name="top.ngd"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGR" xil_pn:name="top.ngr"/>
    <file xil_pn:fileType="FILE_PAD_MISC" xil_pn:name="top.pad"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAR_REPORT" xil_pn:name="top.par" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PCF" xil_pn:name="top.pcf" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="top.prj"/>
    <file xil_pn:fileType="FILE_TRCE_MISC" xil_pn:name="top.ptwx"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_STX" xil_pn:name="top.stx"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_REPORT" xil_pn:name="top.syr"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_TXT_REPORT" xil_pn:name="top.twr" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_XML_REPORT" xil_pn:name="top.twx" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_UNROUTES" xil_pn:name="top.unroutes" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_REPORT" xil_pn:name="top.ut" xil_pn:subbranch="FPGAConfiguration"/>
    <file xil_pn:fileType="FILE_XPI" xil_pn:name="top.xpi"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="top.xst"/>
    <file xil_pn:fileType="FILE_BLIF" xil_pn:name="top_cs.blc"/>
    <file xil_pn:fileType="FILE_NGC" xil_pn:name="top_cs.ngc"/>
    <file xil_pn:fileType="FILE_HTML" xil_pn:name="top_envsettings.html"/>
    <file xil_pn:fileType="FILE_NCD" xil_pn:name="top_guide.ncd" xil_pn:origination="imported"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="top_map.map" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="top_map.mrp" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="top_map.ncd" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGM" xil_pn:name="top_map.ngm" xil_pn:subbranch="Map"/>
    <file xil_pn:fileType="FILE_PSR" xil_pn:name="top_map.psr"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="top_map.xrpt"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="top_ngdbuild.xrpt"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_EXCEL_REPORT" xil_pn:name="top_pad.csv" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_TXT_REPORT" xil_pn:name="top_pad.txt" xil_pn:subbranch="Par"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="top_par.xrpt"/>
    <file xil_pn:fileType="FILE_HTML" xil_pn:name="top_summary.html"/>
    <file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="top_summary.xml"/>
    <file xil_pn:fileType="FILE_WEBTALK" xil_pn:name="top_usage.xml"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="top_xst.xrpt"/>
    <file xil_pn:fileType="FILE_HTML" xil_pn:name="usage_statistics_webtalk.html"/>
    <file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="vtach_tb_stx_beh.prj"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="vtach_test_isim_beh.exe"/>
    <file xil_pn:fileType="FILE_LOG" xil_pn:name="webtalk.log"/>
    <file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="webtalk_pn.xml"/>
    <file xil_pn:fileType="FILE_LOG" xil_pn:name="xaw2verilog.log"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_INI" xil_pn:name="xilinxsim.ini"/>
    <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xlnx_auto_0_xdb"/>
    <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xst"/>
  </files>

  <transforms xmlns="http://www.xilinx.com/XMLSchema">
    <transform xil_pn:end_ts="1368584270" xil_pn:name="TRAN_copyInitialToAbstractSimulation" xil_pn:start_ts="1368584269">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1369282503" xil_pn:in_ck="-2725087300075645304" xil_pn:name="TRAN_copyAbstractToPostAbstractSimulation" xil_pn:start_ts="1369282503">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="InputAdded"/>
      <status xil_pn:value="InputChanged"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="alu.v"/>
      <outfile xil_pn:name="bcdadd.v"/>
      <outfile xil_pn:name="bcdadd_tb.v"/>
      <outfile xil_pn:name="bcdincr.v"/>
      <outfile xil_pn:name="debounce.v"/>
      <outfile xil_pn:name="digitadd.v"/>
      <outfile xil_pn:name="display.v"/>
      <outfile xil_pn:name="io_input.v"/>
      <outfile xil_pn:name="io_output.v"/>
      <outfile xil_pn:name="memory.v"/>
      <outfile xil_pn:name="vtach.v"/>
      <outfile xil_pn:name="vtach_test.v"/>
    </transform>
    <transform xil_pn:end_ts="1369282533" xil_pn:in_ck="-244452673224649538" xil_pn:name="TRAN_xawsToSimhdl" xil_pn:prop_ck="2381345361308828574" xil_pn:start_ts="1369282533">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="InputChanged"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="mainclock.v"/>
    </transform>
    <transform xil_pn:end_ts="1369282533" xil_pn:name="TRAN_schematicsToHdlSim" xil_pn:prop_ck="1934323537455644502" xil_pn:start_ts="1369282533">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1369282503" xil_pn:in_ck="3980477886510227051" xil_pn:name="TRAN_regenerateCoresSim" xil_pn:prop_ck="8013808555970373897" xil_pn:start_ts="1369282503">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="InputChanged"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="ipcore_dir/mainmem.ngc"/>
      <outfile xil_pn:name="ipcore_dir/mainmem.v"/>
    </transform>
    <transform xil_pn:end_ts="1369282503" xil_pn:in_ck="-2413048588341428108" xil_pn:name="TRAN_copyPostAbstractToPreSimulation" xil_pn:start_ts="1369282503">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="OutOfDateForPredecessor"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="InputChanged"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="alu.v"/>
      <outfile xil_pn:name="bcdadd.v"/>
      <outfile xil_pn:name="bcdadd_tb.v"/>
      <outfile xil_pn:name="bcdincr.v"/>
      <outfile xil_pn:name="debounce.v"/>
      <outfile xil_pn:name="digitadd.v"/>
      <outfile xil_pn:name="display.v"/>
      <outfile xil_pn:name="io_input.v"/>
      <outfile xil_pn:name="io_output.v"/>
      <outfile xil_pn:name="ipcore_dir/mainmem.v"/>
      <outfile xil_pn:name="mainclock.v"/>
      <outfile xil_pn:name="memory.v"/>
      <outfile xil_pn:name="vtach.v"/>
      <outfile xil_pn:name="vtach_test.v"/>
    </transform>
    <transform xil_pn:end_ts="1369282534" xil_pn:in_ck="-2413048588341428108" xil_pn:name="TRAN_ISimulateBehavioralModelRunFuse" xil_pn:prop_ck="-873534676834732424" xil_pn:start_ts="1369282533">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="OutOfDateForProperties"/>
      <status xil_pn:value="OutOfDateForPredecessor"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="InputChanged"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="bcdadd_tb_beh.prj"/>
      <outfile xil_pn:name="bcdadd_tb_isim_beh.exe"/>
      <outfile xil_pn:name="fuse.log"/>
      <outfile xil_pn:name="isim"/>
      <outfile xil_pn:name="isim.log"/>
      <outfile xil_pn:name="xilinxsim.ini"/>
    </transform>
    <transform xil_pn:end_ts="1369282668" xil_pn:in_ck="5255976516934665762" xil_pn:name="TRAN_ISimulateBehavioralModel" xil_pn:prop_ck="2635743745309593426" xil_pn:start_ts="1369282668">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForProperties"/>
      <status xil_pn:value="OutOfDateForPredecessor"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="bcdadd_tb_isim_beh.wdb"/>
      <outfile xil_pn:name="isim.cmd"/>
      <outfile xil_pn:name="isim.log"/>
    </transform>
    <transform xil_pn:end_ts="1368583518" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1368583518">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1368583518" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="5755431836787613314" xil_pn:start_ts="1368583518">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1369363903" xil_pn:in_ck="3980477886510227051" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="8013808555970373897" xil_pn:start_ts="1369363902">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="ipcore_dir/mainmem.ngc"/>
      <outfile xil_pn:name="ipcore_dir/mainmem.v"/>
    </transform>
    <transform xil_pn:end_ts="1368583518" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1368583518">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1369373167" xil_pn:in_ck="-244452673224649538" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="-4861304912705368374" xil_pn:start_ts="1369373166">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="mainclock.v"/>
    </transform>
    <transform xil_pn:end_ts="1368943100" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="-7493580321282947067" xil_pn:start_ts="1368943100">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1368943100" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="6361257303910729363" xil_pn:start_ts="1368943100">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1369485804" xil_pn:in_ck="6119374310501591781" xil_pn:name="TRANEXT_xstsynthesize_spartan3" xil_pn:prop_ck="4291827144618591564" xil_pn:start_ts="1369485789">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="WarningsGenerated"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="_xmsgs/xst.xmsgs"/>
      <outfile xil_pn:name="top.lso"/>
      <outfile xil_pn:name="top.ngc"/>
      <outfile xil_pn:name="top.ngr"/>
      <outfile xil_pn:name="top.prj"/>
      <outfile xil_pn:name="top.stx"/>
      <outfile xil_pn:name="top.syr"/>
      <outfile xil_pn:name="top.xst"/>
      <outfile xil_pn:name="top_xst.xrpt"/>
      <outfile xil_pn:name="webtalk_pn.xml"/>
      <outfile xil_pn:name="xst"/>
    </transform>
    <transform xil_pn:end_ts="1369371666" xil_pn:in_ck="171029228254338" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="3734212952611957" xil_pn:start_ts="1369371666">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1369485808" xil_pn:in_ck="-2900854527823834188" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="-2891684272516713369" xil_pn:start_ts="1369485804">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="_ngo"/>
      <outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
      <outfile xil_pn:name="top.bld"/>
      <outfile xil_pn:name="top.ngd"/>
      <outfile xil_pn:name="top_ngdbuild.xrpt"/>
    </transform>
    <transform xil_pn:end_ts="1369485817" xil_pn:in_ck="-8782433215330656644" xil_pn:name="TRANEXT_map_spartan3" xil_pn:prop_ck="2391439439966371770" xil_pn:start_ts="1369485808">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="_xmsgs/map.xmsgs"/>
      <outfile xil_pn:name="top.pcf"/>
      <outfile xil_pn:name="top_map.map"/>
      <outfile xil_pn:name="top_map.mrp"/>
      <outfile xil_pn:name="top_map.ncd"/>
      <outfile xil_pn:name="top_map.ngm"/>
      <outfile xil_pn:name="top_map.psr"/>
      <outfile xil_pn:name="top_map.xrpt"/>
      <outfile xil_pn:name="top_summary.xml"/>
      <outfile xil_pn:name="top_usage.xml"/>
    </transform>
    <transform xil_pn:end_ts="1369485825" xil_pn:in_ck="259004056260716213" xil_pn:name="TRANEXT_par_spartan3" xil_pn:prop_ck="-4757895062645822618" xil_pn:start_ts="1369485817">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="_xmsgs/par.xmsgs"/>
      <outfile xil_pn:name="top.ncd"/>
      <outfile xil_pn:name="top.pad"/>
      <outfile xil_pn:name="top.par"/>
      <outfile xil_pn:name="top.ptwx"/>
      <outfile xil_pn:name="top.unroutes"/>
      <outfile xil_pn:name="top.xpi"/>
      <outfile xil_pn:name="top_pad.csv"/>
      <outfile xil_pn:name="top_pad.txt"/>
      <outfile xil_pn:name="top_par.xrpt"/>
    </transform>
    <transform xil_pn:end_ts="1369485829" xil_pn:in_ck="154288912438" xil_pn:name="TRANEXT_bitFile_spartan3" xil_pn:prop_ck="4026351017656627060" xil_pn:start_ts="1369485825">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="_xmsgs/bitgen.xmsgs"/>
      <outfile xil_pn:name="top.bgn"/>
      <outfile xil_pn:name="top.bit"/>
      <outfile xil_pn:name="top.drc"/>
      <outfile xil_pn:name="top.ut"/>
      <outfile xil_pn:name="usage_statistics_webtalk.html"/>
      <outfile xil_pn:name="webtalk.log"/>
      <outfile xil_pn:name="webtalk_pn.xml"/>
    </transform>
    <transform xil_pn:end_ts="1369485829" xil_pn:in_ck="154288899584" xil_pn:name="TRAN_configureTargetDevice" xil_pn:prop_ck="3302766536459701718" xil_pn:start_ts="1369485829">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="_impactbatch.log"/>
      <outfile xil_pn:name="ise_impact.cmd"/>
    </transform>
    <transform xil_pn:end_ts="1368974451" xil_pn:in_ck="154288899584" xil_pn:name="TRAN_analyzeDesignUsingChipscope" xil_pn:prop_ck="-2891684272516713369" xil_pn:start_ts="1368974451">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="OutOfDateForProperties"/>
      <status xil_pn:value="InputAdded"/>
      <status xil_pn:value="InputChanged"/>
      <status xil_pn:value="InputRemoved"/>
    </transform>
    <transform xil_pn:end_ts="1369485825" xil_pn:in_ck="-8788559531271714440" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416186" xil_pn:start_ts="1369485823">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="_xmsgs/trce.xmsgs"/>
      <outfile xil_pn:name="top.twr"/>
      <outfile xil_pn:name="top.twx"/>
    </transform>
    <transform xil_pn:end_ts="1369371392" xil_pn:in_ck="-8782433215330656644" xil_pn:name="TRAN_createTimingConstraints" xil_pn:start_ts="1369371392">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="InputChanged"/>
    </transform>
  </transforms>

</generated_project>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.