OpenCores
URL https://opencores.org/ocsvn/wb2axi4/wb2axi4/trunk

Subversion Repositories wb2axi4

[/] [wb2axi4/] [trunk/] [rtl/] [gray2bin.sv] - Rev 3

Compare with Previous | Blame | View Log

module gray2bin (
// *************************** Ports ********************************
     gray ,
      bin  
 );
// ************************ Parameters ******************************
  parameter DATA_W   = 32  ;

// ********************** Inputs/Outputs ****************************
  input wire  [DATA_W-1:0] gray ;
  output wire [DATA_W-1:0] bin  ;

  genvar                   i ;
   
  generate
       for (i=0; i<DATA_W; i++) begin
          assign bin[i] = ^(gray >> i);
       end    
  endgenerate
   
endmodule // gray2bin

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.