OpenCores
URL https://opencores.org/ocsvn/wb2axi4/wb2axi4/trunk

Subversion Repositories wb2axi4

[/] [wb2axi4/] [trunk/] [rtl/] [sync_single_ff.sv] - Rev 2

Compare with Previous | Blame | View Log

module sync_single_ff (
// *************************** Ports ********************************
        DIN ,
       DOUT ,
        CLK ,
    RESET_N              
 );
   
// ************************ Parameters ******************************
  parameter DATA_W   = 32  ;

// ********************** Inputs/Outputs ****************************
  input wire  [DATA_W-1:0]  DIN ;
  output reg  [DATA_W-1:0] DOUT ;
  input                     CLK ;
  input                 RESET_N ;                

// **************************  Regs  ********************************
  always @(posedge CLK or negedge RESET_N)
    begin
       if (!RESET_N) {DOUT } <= 0;
       else {DOUT} <= { DIN};
    end

endmodule // sync_single_ff
                                 
//*****************************************************************************

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.