OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [syn/] [altera/] [wiegand_tx/] [output_files/] [wiegand_tx_top.sta.rpt] - Rev 17

Compare with Previous | Blame | View Log

TimeQuest Timing Analyzer report for wiegand_tx_top
Mon Feb 16 11:00:03 2015
Quartus II 64-Bit Version 14.0.0 Build 200 06/17/2014 SJ Web Edition


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. TimeQuest Timing Analyzer Summary
  3. Parallel Compilation
  4. Clocks
  5. Slow 1200mV 85C Model Fmax Summary
  6. Timing Closure Recommendations
  7. Slow 1200mV 85C Model Setup Summary
  8. Slow 1200mV 85C Model Hold Summary
  9. Slow 1200mV 85C Model Recovery Summary
 10. Slow 1200mV 85C Model Removal Summary
 11. Slow 1200mV 85C Model Minimum Pulse Width Summary
 12. Slow 1200mV 85C Model Setup: 'wb_clk_i'
 13. Slow 1200mV 85C Model Hold: 'wb_clk_i'
 14. Slow 1200mV 85C Model Recovery: 'wb_clk_i'
 15. Slow 1200mV 85C Model Removal: 'wb_clk_i'
 16. Slow 1200mV 85C Model Minimum Pulse Width: 'wb_clk_i'
 17. Setup Times
 18. Hold Times
 19. Clock to Output Times
 20. Minimum Clock to Output Times
 21. Propagation Delay
 22. Minimum Propagation Delay
 23. Slow 1200mV 85C Model Metastability Report
 24. Slow 1200mV 0C Model Fmax Summary
 25. Slow 1200mV 0C Model Setup Summary
 26. Slow 1200mV 0C Model Hold Summary
 27. Slow 1200mV 0C Model Recovery Summary
 28. Slow 1200mV 0C Model Removal Summary
 29. Slow 1200mV 0C Model Minimum Pulse Width Summary
 30. Slow 1200mV 0C Model Setup: 'wb_clk_i'
 31. Slow 1200mV 0C Model Hold: 'wb_clk_i'
 32. Slow 1200mV 0C Model Recovery: 'wb_clk_i'
 33. Slow 1200mV 0C Model Removal: 'wb_clk_i'
 34. Slow 1200mV 0C Model Minimum Pulse Width: 'wb_clk_i'
 35. Setup Times
 36. Hold Times
 37. Clock to Output Times
 38. Minimum Clock to Output Times
 39. Propagation Delay
 40. Minimum Propagation Delay
 41. Slow 1200mV 0C Model Metastability Report
 42. Fast 1200mV 0C Model Setup Summary
 43. Fast 1200mV 0C Model Hold Summary
 44. Fast 1200mV 0C Model Recovery Summary
 45. Fast 1200mV 0C Model Removal Summary
 46. Fast 1200mV 0C Model Minimum Pulse Width Summary
 47. Fast 1200mV 0C Model Setup: 'wb_clk_i'
 48. Fast 1200mV 0C Model Hold: 'wb_clk_i'
 49. Fast 1200mV 0C Model Recovery: 'wb_clk_i'
 50. Fast 1200mV 0C Model Removal: 'wb_clk_i'
 51. Fast 1200mV 0C Model Minimum Pulse Width: 'wb_clk_i'
 52. Setup Times
 53. Hold Times
 54. Clock to Output Times
 55. Minimum Clock to Output Times
 56. Propagation Delay
 57. Minimum Propagation Delay
 58. Fast 1200mV 0C Model Metastability Report
 59. Multicorner Timing Analysis Summary
 60. Setup Times
 61. Hold Times
 62. Clock to Output Times
 63. Minimum Clock to Output Times
 64. Propagation Delay
 65. Minimum Propagation Delay
 66. Board Trace Model Assignments
 67. Input Transition Times
 68. Signal Integrity Metrics (Slow 1200mv 0c Model)
 69. Signal Integrity Metrics (Slow 1200mv 85c Model)
 70. Signal Integrity Metrics (Fast 1200mv 0c Model)
 71. Setup Transfers
 72. Hold Transfers
 73. Recovery Transfers
 74. Removal Transfers
 75. Report TCCS
 76. Report RSKM
 77. Unconstrained Paths
 78. TimeQuest Timing Analyzer Messages



----------------
; Legal Notice ;
----------------
Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, the Altera Quartus II License Agreement,
the Altera MegaCore Function License Agreement, or other 
applicable license agreement, including, without limitation, 
that your use is for the sole purpose of programming logic 
devices manufactured by Altera and sold by Altera or its 
authorized distributors.  Please refer to the applicable 
agreement for further details.



+-------------------------------------------------------------------------+
; TimeQuest Timing Analyzer Summary                                       ;
+--------------------+----------------------------------------------------+
; Quartus II Version ; Version 14.0.0 Build 200 06/17/2014 SJ Web Edition ;
; Revision Name      ; wiegand_tx_top                                     ;
; Device Family      ; Cyclone IV GX                                      ;
; Device Name        ; EP4CGX22CF19C6                                     ;
; Timing Models      ; Final                                              ;
; Delay Model        ; Combined                                           ;
; Rise/Fall Delays   ; Enabled                                            ;
+--------------------+----------------------------------------------------+


Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
+-------------------------------------+
; Parallel Compilation                ;
+----------------------------+--------+
; Processors                 ; Number ;
+----------------------------+--------+
; Number detected on machine ; 4      ;
; Maximum allowed            ; 1      ;
+----------------------------+--------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clocks                                                                                                                                                                               ;
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+--------------+
; Clock Name ; Type ; Period ; Frequency  ; Rise  ; Fall  ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets      ;
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+--------------+
; wb_clk_i   ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { wb_clk_i } ;
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+--------------+


+--------------------------------------------------+
; Slow 1200mV 85C Model Fmax Summary               ;
+------------+-----------------+------------+------+
; Fmax       ; Restricted Fmax ; Clock Name ; Note ;
+------------+-----------------+------------+------+
; 146.63 MHz ; 146.63 MHz      ; wb_clk_i   ;      ;
+------------+-----------------+------------+------+
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.


----------------------------------
; Timing Closure Recommendations ;
----------------------------------
HTML report is unavailable in plain text report export.


+-------------------------------------+
; Slow 1200mV 85C Model Setup Summary ;
+----------+--------+-----------------+
; Clock    ; Slack  ; End Point TNS   ;
+----------+--------+-----------------+
; wb_clk_i ; -2.910 ; -416.889        ;
+----------+--------+-----------------+


+------------------------------------+
; Slow 1200mV 85C Model Hold Summary ;
+----------+-------+-----------------+
; Clock    ; Slack ; End Point TNS   ;
+----------+-------+-----------------+
; wb_clk_i ; 0.355 ; 0.000           ;
+----------+-------+-----------------+


+----------------------------------------+
; Slow 1200mV 85C Model Recovery Summary ;
+----------+--------+--------------------+
; Clock    ; Slack  ; End Point TNS      ;
+----------+--------+--------------------+
; wb_clk_i ; -2.528 ; -267.492           ;
+----------+--------+--------------------+


+---------------------------------------+
; Slow 1200mV 85C Model Removal Summary ;
+----------+-------+--------------------+
; Clock    ; Slack ; End Point TNS      ;
+----------+-------+--------------------+
; wb_clk_i ; 2.342 ; 0.000              ;
+----------+-------+--------------------+


+---------------------------------------------------+
; Slow 1200mV 85C Model Minimum Pulse Width Summary ;
+----------+--------+-------------------------------+
; Clock    ; Slack  ; End Point TNS                 ;
+----------+--------+-------------------------------+
; wb_clk_i ; -3.000 ; -306.000                      ;
+----------+--------+-------------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 85C Model Setup: 'wb_clk_i'                                                                                                  ;
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                     ; To Node   ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
; -2.910 ; p2pCnt[1]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 3.215      ;
; -2.895 ; p2pCnt[1]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 3.200      ;
; -2.803 ; pulseCnt[31]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.733      ;
; -2.801 ; pulseCnt[31]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.731      ;
; -2.801 ; pulseCnt[31]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.731      ;
; -2.790 ; pulseCnt[30]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.720      ;
; -2.788 ; pulseCnt[30]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.718      ;
; -2.788 ; pulseCnt[30]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.718      ;
; -2.757 ; bitCountReg[6]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 3.036      ;
; -2.742 ; bitCountReg[6]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 3.021      ;
; -2.724 ; wb_interface_wieg:wb_interface|p2p[20]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 3.030      ;
; -2.723 ; wb_interface_wieg:wb_interface|p2p[18]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 3.029      ;
; -2.712 ; p2pCnt[2]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 3.017      ;
; -2.709 ; pulseCnt[31]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.639      ;
; -2.709 ; wb_interface_wieg:wb_interface|p2p[20]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 3.015      ;
; -2.708 ; wb_interface_wieg:wb_interface|p2p[18]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 3.014      ;
; -2.697 ; p2pCnt[2]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 3.002      ;
; -2.696 ; pulseCnt[30]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.626      ;
; -2.672 ; bitCountReg[5]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.951      ;
; -2.671 ; pulseCnt[0]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.977      ;
; -2.669 ; pulseCnt[0]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.975      ;
; -2.669 ; pulseCnt[0]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.975      ;
; -2.664 ; p2pCnt[0]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.969      ;
; -2.657 ; bitCountReg[5]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.936      ;
; -2.649 ; p2pCnt[0]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.954      ;
; -2.643 ; pulseCnt[3]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.949      ;
; -2.641 ; pulseCnt[3]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.947      ;
; -2.641 ; pulseCnt[3]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.947      ;
; -2.635 ; pulseCnt[15]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.941      ;
; -2.633 ; pulseCnt[15]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.939      ;
; -2.633 ; pulseCnt[15]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.939      ;
; -2.616 ; bitCount[4]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.895      ;
; -2.613 ; pulseCnt[10]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.919      ;
; -2.612 ; bitCount[4]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.891      ;
; -2.612 ; pulseCnt[11]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.918      ;
; -2.611 ; pulseCnt[10]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.917      ;
; -2.611 ; pulseCnt[10]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.917      ;
; -2.610 ; pulseCnt[2]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.916      ;
; -2.610 ; pulseCnt[11]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.916      ;
; -2.610 ; pulseCnt[11]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.916      ;
; -2.608 ; pulseCnt[2]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.914      ;
; -2.608 ; pulseCnt[2]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.914      ;
; -2.604 ; bitCount[1]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.883      ;
; -2.600 ; bitCount[1]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.879      ;
; -2.596 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.521      ;
; -2.594 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.519      ;
; -2.594 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.519      ;
; -2.591 ; bitCountReg[0]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.870      ;
; -2.591 ; bitCountReg[4]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.870      ;
; -2.582 ; bitCountReg[3]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.861      ;
; -2.576 ; bitCountReg[0]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.855      ;
; -2.576 ; bitCountReg[4]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.855      ;
; -2.576 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.501      ;
; -2.574 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.499      ;
; -2.574 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.499      ;
; -2.567 ; bitCountReg[3]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.846      ;
; -2.553 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.478      ;
; -2.551 ; pulseCnt[28]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.481      ;
; -2.551 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.476      ;
; -2.551 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.476      ;
; -2.549 ; pulseCnt[28]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.479      ;
; -2.549 ; pulseCnt[28]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.479      ;
; -2.548 ; pulseCnt[7]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.566     ; 2.477      ;
; -2.546 ; pulseCnt[7]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.566     ; 2.475      ;
; -2.546 ; pulseCnt[7]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.566     ; 2.475      ;
; -2.537 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.462      ;
; -2.535 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.460      ;
; -2.535 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.570     ; 2.460      ;
; -2.529 ; p2pCnt[4]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.834      ;
; -2.526 ; pulseCnt[19]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.832      ;
; -2.524 ; pulseCnt[19]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.830      ;
; -2.524 ; pulseCnt[19]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.830      ;
; -2.516 ; p2pCnt[3]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.821      ;
; -2.514 ; p2pCnt[4]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.819      ;
; -2.508 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.814      ;
; -2.507 ; pulseCnt[20]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.813      ;
; -2.506 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.812      ;
; -2.506 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.812      ;
; -2.505 ; pulseCnt[20]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.811      ;
; -2.505 ; pulseCnt[20]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.811      ;
; -2.503 ; wb_interface_wieg:wb_interface|p2p[3]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.782      ;
; -2.502 ; pulseCnt[8]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.808      ;
; -2.501 ; wb_interface_wieg:wb_interface|p2p[22]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.188     ; 2.808      ;
; -2.501 ; p2pCnt[3]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.806      ;
; -2.500 ; pulseCnt[8]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.806      ;
; -2.500 ; pulseCnt[8]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.806      ;
; -2.495 ; wb_interface_wieg:wb_interface|p2p[24]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.188     ; 2.802      ;
; -2.493 ; wb_interface_wieg:wb_interface|p2p[9]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.798      ;
; -2.492 ; bitCountReg[2]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.771      ;
; -2.489 ; wb_interface_wieg:wb_interface|p2p[22]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.188     ; 2.796      ;
; -2.489 ; wb_interface_wieg:wb_interface|p2p[4]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.794      ;
; -2.488 ; wb_interface_wieg:wb_interface|p2p[3]         ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.767      ;
; -2.487 ; pulseCnt[12]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.793      ;
; -2.485 ; pulseCnt[12]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.791      ;
; -2.485 ; pulseCnt[12]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.189     ; 2.791      ;
; -2.485 ; wb_interface_wieg:wb_interface|p2p[8]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.190     ; 2.790      ;
; -2.484 ; wb_interface_wieg:wb_interface|p2p[24]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.188     ; 2.791      ;
; -2.481 ; bitCount[5]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.216     ; 2.760      ;
; -2.481 ; pulseCnt[26]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.411      ;
; -2.479 ; pulseCnt[26]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.565     ; 2.409      ;
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 85C Model Hold: 'wb_clk_i'                                                                                                                                                                                                         ;
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node                                                                                   ; To Node                                                            ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; 0.355 ; word_out[0]                                                                                 ; word_out[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.577      ;
; 0.356 ; state.111                                                                                   ; state.111                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.577      ;
; 0.356 ; state.100                                                                                   ; state.100                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.577      ;
; 0.356 ; state.000                                                                                   ; state.000                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.577      ;
; 0.356 ; zero_o~reg0                                                                                 ; zero_o~reg0                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.577      ;
; 0.356 ; one_o~reg0                                                                                  ; one_o~reg0                                                         ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.577      ;
; 0.369 ; word_out[24]                                                                                ; word_out[25]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.591      ;
; 0.371 ; word_out[18]                                                                                ; word_out[19]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.592      ;
; 0.371 ; word_out[4]                                                                                 ; word_out[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.592      ;
; 0.372 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.079      ; 0.608      ;
; 0.388 ; p2pCnt[4]                                                                                   ; p2pCnt[4]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.608      ;
; 0.399 ; state.110                                                                                   ; state.101                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.427      ; 0.983      ;
; 0.473 ; pulseCnt[25]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.069      ;
; 0.474 ; pulseCnt[27]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.070      ;
; 0.479 ; word_out[25]                                                                                ; word_out[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.701      ;
; 0.479 ; word_out[22]                                                                                ; word_out[23]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.701      ;
; 0.479 ; word_out[17]                                                                                ; word_out[18]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.700      ;
; 0.479 ; word_out[3]                                                                                 ; word_out[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.700      ;
; 0.480 ; word_out[20]                                                                                ; word_out[21]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.701      ;
; 0.480 ; word_out[5]                                                                                 ; word_out[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.701      ;
; 0.481 ; word_out[19]                                                                                ; word_out[20]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.702      ;
; 0.481 ; word_out[16]                                                                                ; word_out[17]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.702      ;
; 0.481 ; word_out[11]                                                                                ; word_out[12]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.702      ;
; 0.481 ; word_out[2]                                                                                 ; word_out[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.702      ;
; 0.481 ; word_out[1]                                                                                 ; word_out[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.702      ;
; 0.486 ; pulseCnt[6]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.440      ; 1.083      ;
; 0.490 ; pulseCnt[24]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.086      ;
; 0.504 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.079      ; 0.740      ;
; 0.518 ; word_out[28]                                                                                ; word_out[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.739      ;
; 0.518 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.739      ;
; 0.522 ; word_out[27]                                                                                ; word_out[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.744      ;
; 0.534 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.755      ;
; 0.558 ; pulseCnt[7]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.079      ; 0.794      ;
; 0.559 ; pulseCnt[29]                                                                                ; pulseCnt[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.078      ; 0.794      ;
; 0.560 ; pulseCnt[31]                                                                                ; pulseCnt[31]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.078      ; 0.795      ;
; 0.561 ; pulseCnt[30]                                                                                ; pulseCnt[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.078      ; 0.796      ;
; 0.562 ; pulseCnt[26]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.078      ; 0.797      ;
; 0.562 ; pulseCnt[28]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.078      ; 0.797      ;
; 0.564 ; full_dly                                                                                    ; wb_interface_wieg:wb_interface|err                                 ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.785      ;
; 0.570 ; word_out[23]                                                                                ; word_out[24]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.792      ;
; 0.570 ; word_out[14]                                                                                ; word_out[15]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.791      ;
; 0.571 ; word_out[10]                                                                                ; word_out[11]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.792      ;
; 0.572 ; word_out[8]                                                                                 ; word_out[9]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.793      ;
; 0.572 ; pulseCnt[15]                                                                                ; pulseCnt[15]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.792      ;
; 0.573 ; word_out[13]                                                                                ; word_out[14]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.794      ;
; 0.573 ; word_out[6]                                                                                 ; word_out[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.794      ;
; 0.573 ; pulseCnt[17]                                                                                ; pulseCnt[17]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.793      ;
; 0.573 ; pulseCnt[13]                                                                                ; pulseCnt[13]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.793      ;
; 0.573 ; pulseCnt[5]                                                                                 ; pulseCnt[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.793      ;
; 0.573 ; pulseCnt[3]                                                                                 ; pulseCnt[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.793      ;
; 0.573 ; pulseCnt[1]                                                                                 ; pulseCnt[1]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.793      ;
; 0.574 ; bitCount[1]                                                                                 ; bitCount[1]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.794      ;
; 0.574 ; pulseCnt[21]                                                                                ; pulseCnt[21]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.794      ;
; 0.574 ; pulseCnt[19]                                                                                ; pulseCnt[19]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.794      ;
; 0.574 ; pulseCnt[11]                                                                                ; pulseCnt[11]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.794      ;
; 0.574 ; pulseCnt[9]                                                                                 ; pulseCnt[9]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.794      ;
; 0.575 ; bitCountReg[3]                                                                              ; bitCountReg[3]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
; 0.575 ; bitCount[5]                                                                                 ; bitCount[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
; 0.575 ; bitCount[3]                                                                                 ; bitCount[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
; 0.575 ; bitCount[2]                                                                                 ; bitCount[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
; 0.575 ; pulseCnt[27]                                                                                ; pulseCnt[27]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
; 0.575 ; pulseCnt[25]                                                                                ; pulseCnt[25]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
; 0.575 ; pulseCnt[23]                                                                                ; pulseCnt[23]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
; 0.575 ; pulseCnt[22]                                                                                ; pulseCnt[22]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
; 0.575 ; pulseCnt[16]                                                                                ; pulseCnt[16]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
; 0.575 ; pulseCnt[14]                                                                                ; pulseCnt[14]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
; 0.575 ; pulseCnt[6]                                                                                 ; pulseCnt[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
; 0.575 ; pulseCnt[2]                                                                                 ; pulseCnt[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.795      ;
; 0.576 ; bitCountReg[2]                                                                              ; bitCountReg[2]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
; 0.576 ; bitCount[4]                                                                                 ; bitCount[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
; 0.576 ; pulseCnt[20]                                                                                ; pulseCnt[20]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
; 0.576 ; pulseCnt[18]                                                                                ; pulseCnt[18]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
; 0.576 ; pulseCnt[12]                                                                                ; pulseCnt[12]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
; 0.576 ; pulseCnt[10]                                                                                ; pulseCnt[10]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
; 0.576 ; p2pCnt[3]                                                                                   ; p2pCnt[3]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
; 0.576 ; p2pCnt[1]                                                                                   ; p2pCnt[1]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
; 0.576 ; pulseCnt[8]                                                                                 ; pulseCnt[8]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
; 0.576 ; pulseCnt[4]                                                                                 ; pulseCnt[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.796      ;
; 0.577 ; pulseCnt[24]                                                                                ; pulseCnt[24]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.797      ;
; 0.578 ; p2pCnt[2]                                                                                   ; p2pCnt[2]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.798      ;
; 0.579 ; bitCount[6]                                                                                 ; bitCount[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.799      ;
; 0.581 ; bitCountReg[4]                                                                              ; bitCountReg[4]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.801      ;
; 0.581 ; bitCountReg[5]                                                                              ; bitCountReg[5]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.801      ;
; 0.581 ; bitCountReg[1]                                                                              ; bitCountReg[1]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.801      ;
; 0.581 ; pulseCnt[5]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.440      ; 1.178      ;
; 0.583 ; bitCountReg[6]                                                                              ; bitCountReg[6]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.803      ;
; 0.584 ; pulseCnt[27]                                                                                ; pulseCnt[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.180      ;
; 0.585 ; pulseCnt[25]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.181      ;
; 0.585 ; pulseCnt[23]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.181      ;
; 0.586 ; pulseCnt[27]                                                                                ; pulseCnt[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.182      ;
; 0.594 ; p2pCnt[0]                                                                                   ; p2pCnt[0]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.814      ;
; 0.594 ; pulseCnt[0]                                                                                 ; pulseCnt[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.814      ;
; 0.595 ; word_out[12]                                                                                ; word_out[13]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.816      ;
; 0.596 ; word_out[9]                                                                                 ; word_out[10]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.064      ; 0.817      ;
; 0.596 ; bitCount[0]                                                                                 ; bitCount[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.063      ; 0.816      ;
; 0.598 ; pulseCnt[4]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.440      ; 1.195      ;
; 0.601 ; pulseCnt[22]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.197      ;
; 0.602 ; pulseCnt[24]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.439      ; 1.198      ;
; 0.614 ; word_out[21]                                                                                ; word_out[22]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.836      ;
; 0.637 ; word_out[29]                                                                                ; word_out[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.065      ; 0.859      ;
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 85C Model Recovery: 'wb_clk_i'                                                                                                                                                                          ;
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node                              ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -2.528 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.443      ;
; -2.528 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[2]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.443      ;
; -2.528 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.443      ;
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
; -2.527 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.442      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.079     ; 3.101      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.084     ; 3.096      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.080     ; 3.100      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
; -2.185 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.081     ; 3.099      ;
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
; -2.184 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.085     ; 3.094      ;
; -1.854 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.253      ; 3.102      ;
; -1.854 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.253      ; 3.102      ;
; -1.854 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.253      ; 3.102      ;
; -1.854 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.253      ; 3.102      ;
; -1.854 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.253      ; 3.102      ;
; -1.854 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.253      ; 3.102      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.252      ; 3.100      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.251      ; 3.099      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.254      ; 3.101      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.254      ; 3.101      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.254      ; 3.101      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.247      ; 3.094      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.254      ; 3.101      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.254      ; 3.101      ;
; -1.830 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.276      ; 3.101      ;
; -1.824 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.282      ; 3.101      ;
; -1.824 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.282      ; 3.101      ;
; -1.824 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.282      ; 3.101      ;
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
; -1.822 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.281      ; 3.098      ;
; -1.814 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.293      ; 3.102      ;
; -1.814 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.293      ; 3.102      ;
; -1.814 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.293      ; 3.102      ;
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 85C Model Removal: 'wb_clk_i'                                                                                                                                                                          ;
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node                              ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.474      ; 2.973      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.474      ; 2.973      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.474      ; 2.973      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.475      ; 2.974      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.474      ; 2.973      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.473      ; 2.972      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
; 2.342 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.472      ; 2.971      ;
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
; 2.343 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.467      ; 2.967      ;
; 2.352 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.463      ; 2.972      ;
; 2.352 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.463      ; 2.972      ;
; 2.352 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.463      ; 2.972      ;
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
; 2.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.461      ; 2.971      ;
; 2.358 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.457      ; 2.972      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.973      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.973      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.973      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.973      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.973      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.973      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.432      ; 2.972      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
; 2.383 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.431      ; 2.971      ;
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.974      ;
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.974      ;
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.974      ;
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.426      ; 2.967      ;
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.974      ;
; 2.384 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.433      ; 2.974      ;
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
; 2.729 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.087      ; 2.973      ;
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.082      ; 2.969      ;
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.086      ; 2.973      ;
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.086      ; 2.973      ;
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.082      ; 2.969      ;
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.086      ; 2.973      ;
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.082      ; 2.969      ;
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.082      ; 2.969      ;
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.082      ; 2.969      ;
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.086      ; 2.973      ;
; 2.730 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.085      ; 2.972      ;
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 85C Model Minimum Pulse Width: 'wb_clk_i'                                                                                                                     ;
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type       ; Clock    ; Clock Edge ; Target                                                                                      ;
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; wb_clk_i ; Rise       ; wb_clk_i                                                                                    ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[0]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[1]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[2]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[3]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[4]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[5]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[6]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[0]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[1]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[2]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[3]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[4]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[5]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[6]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[2]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ;
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+


+---------------------------------------------------------------------------+
; Setup Times                                                               ;
+---------------+------------+-------+-------+------------+-----------------+
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+---------------+------------+-------+-------+------------+-----------------+
; wb_adr_i[*]   ; wb_clk_i   ; 4.271 ; 4.621 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; 2.609 ; 3.032 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; 3.998 ; 4.341 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; 3.819 ; 4.170 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; 3.952 ; 4.323 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; 4.271 ; 4.621 ; Rise       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; 2.965 ; 3.470 ; Rise       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; 3.221 ; 3.760 ; Rise       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; 2.542 ; 3.015 ; Rise       ; wb_clk_i        ;
; wb_adr_i[*]   ; wb_clk_i   ; 7.159 ; 7.534 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; 5.159 ; 5.598 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[1]  ; wb_clk_i   ; 5.183 ; 5.696 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; 6.886 ; 7.254 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; 6.707 ; 7.083 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; 6.840 ; 7.236 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; 7.159 ; 7.534 ; Fall       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; 4.445 ; 4.921 ; Fall       ; wb_clk_i        ;
; wb_dat_i[*]   ; wb_clk_i   ; 2.807 ; 3.319 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[0]  ; wb_clk_i   ; 2.257 ; 2.702 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[1]  ; wb_clk_i   ; 1.756 ; 2.192 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[2]  ; wb_clk_i   ; 1.621 ; 2.053 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[3]  ; wb_clk_i   ; 2.237 ; 2.695 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[4]  ; wb_clk_i   ; 2.285 ; 2.765 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[5]  ; wb_clk_i   ; 2.012 ; 2.474 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[6]  ; wb_clk_i   ; 2.596 ; 3.158 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[7]  ; wb_clk_i   ; 2.003 ; 2.461 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[8]  ; wb_clk_i   ; 2.482 ; 2.978 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[9]  ; wb_clk_i   ; 2.028 ; 2.529 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[10] ; wb_clk_i   ; 2.508 ; 3.004 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[11] ; wb_clk_i   ; 2.265 ; 2.751 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[12] ; wb_clk_i   ; 1.957 ; 2.467 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[13] ; wb_clk_i   ; 2.611 ; 3.125 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[14] ; wb_clk_i   ; 2.463 ; 2.979 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[15] ; wb_clk_i   ; 2.188 ; 2.670 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[16] ; wb_clk_i   ; 2.224 ; 2.706 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[17] ; wb_clk_i   ; 2.408 ; 2.896 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[18] ; wb_clk_i   ; 2.234 ; 2.729 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[19] ; wb_clk_i   ; 2.261 ; 2.728 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[20] ; wb_clk_i   ; 2.807 ; 3.319 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[21] ; wb_clk_i   ; 2.340 ; 2.855 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[22] ; wb_clk_i   ; 1.268 ; 1.701 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[23] ; wb_clk_i   ; 2.031 ; 2.446 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[24] ; wb_clk_i   ; 2.343 ; 2.821 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[25] ; wb_clk_i   ; 1.949 ; 2.455 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[26] ; wb_clk_i   ; 2.045 ; 2.582 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[27] ; wb_clk_i   ; 2.114 ; 2.612 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[28] ; wb_clk_i   ; 1.852 ; 2.286 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[29] ; wb_clk_i   ; 2.207 ; 2.723 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[30] ; wb_clk_i   ; 2.405 ; 2.946 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[31] ; wb_clk_i   ; 2.763 ; 3.274 ; Fall       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; 4.701 ; 5.211 ; Fall       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; 3.637 ; 4.053 ; Fall       ; wb_clk_i        ;
+---------------+------------+-------+-------+------------+-----------------+


+-----------------------------------------------------------------------------+
; Hold Times                                                                  ;
+---------------+------------+--------+--------+------------+-----------------+
; Data Port     ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
+---------------+------------+--------+--------+------------+-----------------+
; wb_adr_i[*]   ; wb_clk_i   ; -1.504 ; -1.916 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; -1.504 ; -1.916 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; -3.292 ; -3.644 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; -3.120 ; -3.480 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; -3.247 ; -3.627 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; -3.555 ; -3.913 ; Rise       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; -1.845 ; -2.334 ; Rise       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; -2.079 ; -2.585 ; Rise       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; -1.438 ; -1.895 ; Rise       ; wb_clk_i        ;
; wb_adr_i[*]   ; wb_clk_i   ; -2.051 ; -2.507 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; -2.051 ; -2.507 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[1]  ; wb_clk_i   ; -2.723 ; -3.240 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; -4.433 ; -4.785 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; -4.261 ; -4.621 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; -4.388 ; -4.768 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; -4.696 ; -5.054 ; Fall       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; -2.673 ; -3.178 ; Fall       ; wb_clk_i        ;
; wb_dat_i[*]   ; wb_clk_i   ; -0.472 ; -0.879 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[0]  ; wb_clk_i   ; -0.778 ; -1.177 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[1]  ; wb_clk_i   ; -0.530 ; -0.940 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[2]  ; wb_clk_i   ; -0.727 ; -1.160 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[3]  ; wb_clk_i   ; -0.668 ; -1.098 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[4]  ; wb_clk_i   ; -1.120 ; -1.587 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[5]  ; wb_clk_i   ; -0.472 ; -0.879 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[6]  ; wb_clk_i   ; -1.471 ; -1.979 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[7]  ; wb_clk_i   ; -0.826 ; -1.239 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[8]  ; wb_clk_i   ; -0.999 ; -1.463 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[9]  ; wb_clk_i   ; -1.059 ; -1.538 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[10] ; wb_clk_i   ; -1.640 ; -2.118 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[11] ; wb_clk_i   ; -1.298 ; -1.767 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[12] ; wb_clk_i   ; -0.989 ; -1.455 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[13] ; wb_clk_i   ; -1.344 ; -1.823 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[14] ; wb_clk_i   ; -1.161 ; -1.630 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[15] ; wb_clk_i   ; -1.050 ; -1.532 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[16] ; wb_clk_i   ; -1.375 ; -1.843 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[17] ; wb_clk_i   ; -1.180 ; -1.617 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[18] ; wb_clk_i   ; -1.189 ; -1.663 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[19] ; wb_clk_i   ; -1.221 ; -1.675 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[20] ; wb_clk_i   ; -1.608 ; -2.085 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[21] ; wb_clk_i   ; -1.397 ; -1.886 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[22] ; wb_clk_i   ; -0.811 ; -1.209 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[23] ; wb_clk_i   ; -1.058 ; -1.440 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[24] ; wb_clk_i   ; -0.922 ; -1.377 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[25] ; wb_clk_i   ; -1.058 ; -1.553 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[26] ; wb_clk_i   ; -1.083 ; -1.586 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[27] ; wb_clk_i   ; -1.180 ; -1.679 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[28] ; wb_clk_i   ; -0.781 ; -1.202 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[29] ; wb_clk_i   ; -1.011 ; -1.495 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[30] ; wb_clk_i   ; -1.209 ; -1.725 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[31] ; wb_clk_i   ; -1.921 ; -2.408 ; Fall       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; -2.897 ; -3.414 ; Fall       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; -1.896 ; -2.342 ; Fall       ; wb_clk_i        ;
+---------------+------------+--------+--------+------------+-----------------+


+---------------------------------------------------------------------------+
; Clock to Output Times                                                     ;
+---------------+------------+-------+-------+------------+-----------------+
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+---------------+------------+-------+-------+------------+-----------------+
; wb_ack_o      ; wb_clk_i   ; 7.153 ; 7.137 ; Rise       ; wb_clk_i        ;
; wb_err_o      ; wb_clk_i   ; 6.848 ; 6.787 ; Rise       ; wb_clk_i        ;
; wb_rty_o      ; wb_clk_i   ; 6.313 ; 6.240 ; Rise       ; wb_clk_i        ;
; one_o         ; wb_clk_i   ; 7.107 ; 7.085 ; Fall       ; wb_clk_i        ;
; wb_dat_o[*]   ; wb_clk_i   ; 9.683 ; 9.676 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[0]  ; wb_clk_i   ; 7.960 ; 7.849 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[1]  ; wb_clk_i   ; 9.112 ; 9.007 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[2]  ; wb_clk_i   ; 8.506 ; 8.564 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[3]  ; wb_clk_i   ; 8.344 ; 8.203 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[4]  ; wb_clk_i   ; 8.770 ; 8.747 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[5]  ; wb_clk_i   ; 8.249 ; 8.195 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[6]  ; wb_clk_i   ; 8.886 ; 8.904 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[7]  ; wb_clk_i   ; 9.101 ; 9.109 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[8]  ; wb_clk_i   ; 8.056 ; 7.989 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[9]  ; wb_clk_i   ; 9.683 ; 9.676 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[10] ; wb_clk_i   ; 9.241 ; 9.242 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[11] ; wb_clk_i   ; 8.368 ; 8.358 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[12] ; wb_clk_i   ; 7.338 ; 7.265 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[13] ; wb_clk_i   ; 7.357 ; 7.271 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[14] ; wb_clk_i   ; 8.597 ; 8.624 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[15] ; wb_clk_i   ; 8.345 ; 8.393 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[16] ; wb_clk_i   ; 7.924 ; 7.985 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[17] ; wb_clk_i   ; 8.069 ; 8.073 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[18] ; wb_clk_i   ; 8.883 ; 8.880 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[19] ; wb_clk_i   ; 6.587 ; 6.542 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[20] ; wb_clk_i   ; 7.407 ; 7.373 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[21] ; wb_clk_i   ; 6.854 ; 6.784 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[22] ; wb_clk_i   ; 7.919 ; 7.943 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[23] ; wb_clk_i   ; 7.822 ; 7.724 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[24] ; wb_clk_i   ; 7.611 ; 7.536 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[25] ; wb_clk_i   ; 9.170 ; 9.145 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[26] ; wb_clk_i   ; 8.203 ; 8.254 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[27] ; wb_clk_i   ; 7.847 ; 7.892 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[28] ; wb_clk_i   ; 8.114 ; 8.148 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[29] ; wb_clk_i   ; 8.109 ; 8.120 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[30] ; wb_clk_i   ; 7.405 ; 7.458 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[31] ; wb_clk_i   ; 7.164 ; 7.160 ; Fall       ; wb_clk_i        ;
; zero_o        ; wb_clk_i   ; 9.140 ; 9.037 ; Fall       ; wb_clk_i        ;
+---------------+------------+-------+-------+------------+-----------------+


+---------------------------------------------------------------------------+
; Minimum Clock to Output Times                                             ;
+---------------+------------+-------+-------+------------+-----------------+
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+---------------+------------+-------+-------+------------+-----------------+
; wb_ack_o      ; wb_clk_i   ; 6.945 ; 6.928 ; Rise       ; wb_clk_i        ;
; wb_err_o      ; wb_clk_i   ; 6.619 ; 6.557 ; Rise       ; wb_clk_i        ;
; wb_rty_o      ; wb_clk_i   ; 6.105 ; 6.030 ; Rise       ; wb_clk_i        ;
; one_o         ; wb_clk_i   ; 6.872 ; 6.855 ; Fall       ; wb_clk_i        ;
; wb_dat_o[*]   ; wb_clk_i   ; 6.163 ; 6.053 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[0]  ; wb_clk_i   ; 7.124 ; 7.058 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[1]  ; wb_clk_i   ; 6.535 ; 6.469 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[2]  ; wb_clk_i   ; 7.844 ; 7.805 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[3]  ; wb_clk_i   ; 6.629 ; 6.565 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[4]  ; wb_clk_i   ; 7.144 ; 7.027 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[5]  ; wb_clk_i   ; 6.787 ; 6.709 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[6]  ; wb_clk_i   ; 7.379 ; 7.340 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[7]  ; wb_clk_i   ; 7.758 ; 7.750 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[8]  ; wb_clk_i   ; 6.962 ; 6.842 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[9]  ; wb_clk_i   ; 8.453 ; 8.426 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[10] ; wb_clk_i   ; 8.664 ; 8.592 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[11] ; wb_clk_i   ; 7.948 ; 7.897 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[12] ; wb_clk_i   ; 6.522 ; 6.404 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[13] ; wb_clk_i   ; 6.560 ; 6.443 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[14] ; wb_clk_i   ; 8.048 ; 8.000 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[15] ; wb_clk_i   ; 7.911 ; 7.923 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[16] ; wb_clk_i   ; 7.398 ; 7.383 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[17] ; wb_clk_i   ; 7.782 ; 7.728 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[18] ; wb_clk_i   ; 8.562 ; 8.502 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[19] ; wb_clk_i   ; 6.163 ; 6.053 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[20] ; wb_clk_i   ; 6.885 ; 6.777 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[21] ; wb_clk_i   ; 6.612 ; 6.487 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[22] ; wb_clk_i   ; 7.469 ; 7.486 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[23] ; wb_clk_i   ; 6.789 ; 6.658 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[24] ; wb_clk_i   ; 6.792 ; 6.674 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[25] ; wb_clk_i   ; 8.477 ; 8.405 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[26] ; wb_clk_i   ; 7.669 ; 7.642 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[27] ; wb_clk_i   ; 7.368 ; 7.345 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[28] ; wb_clk_i   ; 7.574 ; 7.528 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[29] ; wb_clk_i   ; 7.816 ; 7.770 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[30] ; wb_clk_i   ; 7.144 ; 7.146 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[31] ; wb_clk_i   ; 6.711 ; 6.639 ; Fall       ; wb_clk_i        ;
; zero_o        ; wb_clk_i   ; 8.823 ; 8.726 ; Fall       ; wb_clk_i        ;
+---------------+------------+-------+-------+------------+-----------------+


+----------------------------------------------------------------+
; Propagation Delay                                              ;
+-------------+--------------+--------+--------+--------+--------+
; Input Port  ; Output Port  ; RR     ; RF     ; FR     ; FF     ;
+-------------+--------------+--------+--------+--------+--------+
; wb_adr_i[0] ; wb_dat_o[0]  ; 9.305  ; 9.480  ; 10.082 ; 9.579  ;
; wb_adr_i[0] ; wb_dat_o[1]  ; 9.682  ; 9.903  ; 10.450 ; 10.024 ;
; wb_adr_i[0] ; wb_dat_o[2]  ; 10.103 ; 10.364 ; 10.883 ; 10.560 ;
; wb_adr_i[0] ; wb_dat_o[3]  ; 9.970  ; 10.173 ; 10.741 ; 10.292 ;
; wb_adr_i[0] ; wb_dat_o[4]  ; 11.026 ; 11.356 ; 11.950 ; 11.310 ;
; wb_adr_i[0] ; wb_dat_o[5]  ; 11.000 ; 11.040 ; 11.625 ; 11.285 ;
; wb_adr_i[0] ; wb_dat_o[6]  ; 11.149 ; 11.513 ; 12.064 ; 11.475 ;
; wb_adr_i[0] ; wb_dat_o[7]  ; 11.244 ; 11.624 ; 12.149 ; 11.587 ;
; wb_adr_i[0] ; wb_dat_o[8]  ; 9.946  ; 10.031 ; 10.635 ; 10.222 ;
; wb_adr_i[0] ; wb_dat_o[9]  ; 11.519 ; 11.576 ; 12.135 ; 11.829 ;
; wb_adr_i[0] ; wb_dat_o[10] ; 11.178 ; 11.405 ; 11.956 ; 11.504 ;
; wb_adr_i[0] ; wb_dat_o[11] ; 10.680 ; 10.642 ; 11.175 ; 11.049 ;
; wb_adr_i[0] ; wb_dat_o[12] ; 8.954  ; 9.130  ; 9.718  ; 9.231  ;
; wb_adr_i[0] ; wb_dat_o[13] ; 8.987  ; 9.161  ; 9.752  ; 9.266  ;
; wb_adr_i[0] ; wb_dat_o[14] ; 10.537 ; 10.792 ; 11.318 ; 10.888 ;
; wb_adr_i[0] ; wb_dat_o[15] ; 10.642 ; 10.669 ; 11.136 ; 11.076 ;
; wb_adr_i[0] ; wb_dat_o[16] ; 9.861  ; 10.147 ; 10.638 ; 10.247 ;
; wb_adr_i[0] ; wb_dat_o[17] ; 10.510 ; 10.473 ; 11.009 ; 10.876 ;
; wb_adr_i[0] ; wb_dat_o[18] ; 11.290 ; 11.306 ; 11.826 ; 11.649 ;
; wb_adr_i[0] ; wb_dat_o[19] ; 8.581  ; 8.751  ; 9.328  ; 8.867  ;
; wb_adr_i[0] ; wb_dat_o[20] ; 9.331  ; 9.516  ; 10.095 ; 9.618  ;
; wb_adr_i[0] ; wb_dat_o[21] ; 9.303  ; 9.185  ; 9.784  ; 9.594  ;
; wb_adr_i[0] ; wb_dat_o[22] ; 9.666  ; 9.692  ; 10.197 ; 10.023 ;
; wb_adr_i[0] ; wb_dat_o[23] ; 9.229  ; 9.378  ; 9.975  ; 9.495  ;
; wb_adr_i[0] ; wb_dat_o[24] ; 9.202  ; 9.345  ; 9.921  ; 9.500  ;
; wb_adr_i[0] ; wb_dat_o[25] ; 10.991 ; 11.201 ; 11.738 ; 11.317 ;
; wb_adr_i[0] ; wb_dat_o[26] ; 9.921  ; 10.202 ; 10.715 ; 10.309 ;
; wb_adr_i[0] ; wb_dat_o[27] ; 9.605  ; 9.882  ; 10.383 ; 9.999  ;
; wb_adr_i[0] ; wb_dat_o[28] ; 9.821  ; 10.079 ; 10.612 ; 10.190 ;
; wb_adr_i[0] ; wb_dat_o[29] ; 10.323 ; 10.301 ; 10.839 ; 10.705 ;
; wb_adr_i[0] ; wb_dat_o[30] ; 10.145 ; 10.346 ; 10.824 ; 10.544 ;
; wb_adr_i[0] ; wb_dat_o[31] ; 9.473  ; 9.816  ; 10.370 ; 9.786  ;
; wb_adr_i[1] ; wb_dat_o[0]  ; 9.313  ; 9.530  ; 10.197 ; 9.684  ;
; wb_adr_i[1] ; wb_dat_o[1]  ; 9.683  ; 9.953  ; 10.565 ; 10.136 ;
; wb_adr_i[1] ; wb_dat_o[2]  ; 10.114 ; 10.414 ; 10.998 ; 10.675 ;
; wb_adr_i[1] ; wb_dat_o[3]  ; 9.971  ; 10.223 ; 10.856 ; 10.404 ;
; wb_adr_i[1] ; wb_dat_o[4]  ; 11.001 ; 11.406 ; 12.065 ; 11.448 ;
; wb_adr_i[1] ; wb_dat_o[5]  ; 10.934 ; 11.090 ; 11.740 ; 11.387 ;
; wb_adr_i[1] ; wb_dat_o[6]  ; 11.123 ; 11.563 ; 12.179 ; 11.614 ;
; wb_adr_i[1] ; wb_dat_o[7]  ; 11.218 ; 11.674 ; 12.264 ; 11.726 ;
; wb_adr_i[1] ; wb_dat_o[8]  ; 9.955  ; 10.081 ; 10.750 ; 10.388 ;
; wb_adr_i[1] ; wb_dat_o[9]  ; 11.452 ; 11.626 ; 12.250 ; 11.932 ;
; wb_adr_i[1] ; wb_dat_o[10] ; 11.165 ; 11.455 ; 12.071 ; 11.630 ;
; wb_adr_i[1] ; wb_dat_o[11] ; 10.633 ; 10.692 ; 11.290 ; 11.122 ;
; wb_adr_i[1] ; wb_dat_o[12] ; 8.939  ; 9.180  ; 9.833  ; 9.359  ;
; wb_adr_i[1] ; wb_dat_o[13] ; 8.973  ; 9.211  ; 9.867  ; 9.393  ;
; wb_adr_i[1] ; wb_dat_o[14] ; 10.523 ; 10.842 ; 11.433 ; 11.015 ;
; wb_adr_i[1] ; wb_dat_o[15] ; 10.595 ; 10.719 ; 11.251 ; 11.149 ;
; wb_adr_i[1] ; wb_dat_o[16] ; 9.848  ; 10.197 ; 10.753 ; 10.373 ;
; wb_adr_i[1] ; wb_dat_o[17] ; 10.462 ; 10.523 ; 11.124 ; 10.950 ;
; wb_adr_i[1] ; wb_dat_o[18] ; 11.318 ; 11.356 ; 11.941 ; 11.793 ;
; wb_adr_i[1] ; wb_dat_o[19] ; 8.566  ; 8.801  ; 9.443  ; 8.995  ;
; wb_adr_i[1] ; wb_dat_o[20] ; 9.316  ; 9.566  ; 10.210 ; 9.746  ;
; wb_adr_i[1] ; wb_dat_o[21] ; 9.255  ; 9.235  ; 9.899  ; 9.668  ;
; wb_adr_i[1] ; wb_dat_o[22] ; 9.670  ; 9.742  ; 10.312 ; 10.132 ;
; wb_adr_i[1] ; wb_dat_o[23] ; 9.215  ; 9.428  ; 10.090 ; 9.622  ;
; wb_adr_i[1] ; wb_dat_o[24] ; 9.207  ; 9.395  ; 10.036 ; 9.608  ;
; wb_adr_i[1] ; wb_dat_o[25] ; 10.976 ; 11.251 ; 11.853 ; 11.445 ;
; wb_adr_i[1] ; wb_dat_o[26] ; 9.922  ; 10.252 ; 10.830 ; 10.421 ;
; wb_adr_i[1] ; wb_dat_o[27] ; 9.607  ; 9.932  ; 10.498 ; 10.110 ;
; wb_adr_i[1] ; wb_dat_o[28] ; 9.823  ; 10.129 ; 10.727 ; 10.301 ;
; wb_adr_i[1] ; wb_dat_o[29] ; 10.284 ; 10.351 ; 10.954 ; 10.770 ;
; wb_adr_i[1] ; wb_dat_o[30] ; 10.152 ; 10.396 ; 10.939 ; 10.712 ;
; wb_adr_i[1] ; wb_dat_o[31] ; 9.446  ; 9.866  ; 10.485 ; 9.926  ;
; wb_adr_i[2] ; wb_dat_o[0]  ; 11.386 ; 10.874 ; 11.384 ; 11.602 ;
; wb_adr_i[2] ; wb_dat_o[1]  ; 11.754 ; 11.326 ; 11.754 ; 12.025 ;
; wb_adr_i[2] ; wb_dat_o[2]  ; 12.187 ; 11.864 ; 12.186 ; 12.486 ;
; wb_adr_i[2] ; wb_dat_o[3]  ; 12.045 ; 11.594 ; 12.042 ; 12.295 ;
; wb_adr_i[2] ; wb_dat_o[4]  ; 13.254 ; 12.638 ; 13.072 ; 13.478 ;
; wb_adr_i[2] ; wb_dat_o[5]  ; 12.929 ; 12.577 ; 13.005 ; 13.162 ;
; wb_adr_i[2] ; wb_dat_o[6]  ; 13.368 ; 12.804 ; 13.194 ; 13.635 ;
; wb_adr_i[2] ; wb_dat_o[7]  ; 13.453 ; 12.916 ; 13.289 ; 13.746 ;
; wb_adr_i[2] ; wb_dat_o[8]  ; 11.939 ; 11.578 ; 12.026 ; 12.153 ;
; wb_adr_i[2] ; wb_dat_o[9]  ; 13.439 ; 13.122 ; 13.523 ; 13.698 ;
; wb_adr_i[2] ; wb_dat_o[10] ; 13.260 ; 12.820 ; 13.236 ; 13.527 ;
; wb_adr_i[2] ; wb_dat_o[11] ; 12.479 ; 12.312 ; 12.704 ; 12.764 ;
; wb_adr_i[2] ; wb_dat_o[12] ; 11.022 ; 10.549 ; 11.010 ; 11.252 ;
; wb_adr_i[2] ; wb_dat_o[13] ; 11.056 ; 10.583 ; 11.044 ; 11.283 ;
; wb_adr_i[2] ; wb_dat_o[14] ; 12.622 ; 12.205 ; 12.594 ; 12.914 ;
; wb_adr_i[2] ; wb_dat_o[15] ; 12.440 ; 12.339 ; 12.666 ; 12.791 ;
; wb_adr_i[2] ; wb_dat_o[16] ; 11.942 ; 11.563 ; 11.919 ; 12.269 ;
; wb_adr_i[2] ; wb_dat_o[17] ; 12.313 ; 12.140 ; 12.533 ; 12.595 ;
; wb_adr_i[2] ; wb_dat_o[18] ; 13.130 ; 12.983 ; 13.389 ; 13.428 ;
; wb_adr_i[2] ; wb_dat_o[19] ; 10.632 ; 10.185 ; 10.637 ; 10.873 ;
; wb_adr_i[2] ; wb_dat_o[20] ; 11.399 ; 10.936 ; 11.387 ; 11.638 ;
; wb_adr_i[2] ; wb_dat_o[21] ; 11.088 ; 10.858 ; 11.326 ; 11.307 ;
; wb_adr_i[2] ; wb_dat_o[22] ; 11.501 ; 11.322 ; 11.741 ; 11.814 ;
; wb_adr_i[2] ; wb_dat_o[23] ; 11.279 ; 10.812 ; 11.286 ; 11.500 ;
; wb_adr_i[2] ; wb_dat_o[24] ; 11.225 ; 10.798 ; 11.278 ; 11.467 ;
; wb_adr_i[2] ; wb_dat_o[25] ; 13.042 ; 12.635 ; 13.047 ; 13.323 ;
; wb_adr_i[2] ; wb_dat_o[26] ; 12.019 ; 11.611 ; 11.993 ; 12.324 ;
; wb_adr_i[2] ; wb_dat_o[27] ; 11.687 ; 11.300 ; 11.678 ; 12.004 ;
; wb_adr_i[2] ; wb_dat_o[28] ; 11.916 ; 11.491 ; 11.894 ; 12.201 ;
; wb_adr_i[2] ; wb_dat_o[29] ; 12.143 ; 11.960 ; 12.355 ; 12.423 ;
; wb_adr_i[2] ; wb_dat_o[30] ; 12.128 ; 11.902 ; 12.223 ; 12.468 ;
; wb_adr_i[2] ; wb_dat_o[31] ; 11.674 ; 11.116 ; 11.517 ; 11.938 ;
; wb_adr_i[3] ; wb_dat_o[0]  ; 11.207 ; 10.695 ; 11.213 ; 11.431 ;
; wb_adr_i[3] ; wb_dat_o[1]  ; 11.575 ; 11.147 ; 11.583 ; 11.854 ;
; wb_adr_i[3] ; wb_dat_o[2]  ; 12.008 ; 11.685 ; 12.015 ; 12.315 ;
; wb_adr_i[3] ; wb_dat_o[3]  ; 11.866 ; 11.415 ; 11.871 ; 12.124 ;
; wb_adr_i[3] ; wb_dat_o[4]  ; 13.075 ; 12.459 ; 12.901 ; 13.307 ;
; wb_adr_i[3] ; wb_dat_o[5]  ; 12.750 ; 12.398 ; 12.834 ; 12.991 ;
; wb_adr_i[3] ; wb_dat_o[6]  ; 13.189 ; 12.625 ; 13.023 ; 13.464 ;
; wb_adr_i[3] ; wb_dat_o[7]  ; 13.274 ; 12.737 ; 13.118 ; 13.575 ;
; wb_adr_i[3] ; wb_dat_o[8]  ; 11.760 ; 11.399 ; 11.855 ; 11.982 ;
; wb_adr_i[3] ; wb_dat_o[9]  ; 13.260 ; 12.943 ; 13.352 ; 13.527 ;
; wb_adr_i[3] ; wb_dat_o[10] ; 13.081 ; 12.641 ; 13.065 ; 13.356 ;
; wb_adr_i[3] ; wb_dat_o[11] ; 12.300 ; 12.133 ; 12.533 ; 12.593 ;
; wb_adr_i[3] ; wb_dat_o[12] ; 10.843 ; 10.370 ; 10.839 ; 11.081 ;
; wb_adr_i[3] ; wb_dat_o[13] ; 10.877 ; 10.404 ; 10.873 ; 11.112 ;
; wb_adr_i[3] ; wb_dat_o[14] ; 12.443 ; 12.026 ; 12.423 ; 12.743 ;
; wb_adr_i[3] ; wb_dat_o[15] ; 12.261 ; 12.160 ; 12.495 ; 12.620 ;
; wb_adr_i[3] ; wb_dat_o[16] ; 11.763 ; 11.384 ; 11.748 ; 12.098 ;
; wb_adr_i[3] ; wb_dat_o[17] ; 12.134 ; 11.961 ; 12.362 ; 12.424 ;
; wb_adr_i[3] ; wb_dat_o[18] ; 12.951 ; 12.804 ; 13.218 ; 13.257 ;
; wb_adr_i[3] ; wb_dat_o[19] ; 10.453 ; 10.006 ; 10.466 ; 10.702 ;
; wb_adr_i[3] ; wb_dat_o[20] ; 11.220 ; 10.757 ; 11.216 ; 11.467 ;
; wb_adr_i[3] ; wb_dat_o[21] ; 10.909 ; 10.679 ; 11.155 ; 11.136 ;
; wb_adr_i[3] ; wb_dat_o[22] ; 11.322 ; 11.143 ; 11.570 ; 11.643 ;
; wb_adr_i[3] ; wb_dat_o[23] ; 11.100 ; 10.633 ; 11.115 ; 11.329 ;
; wb_adr_i[3] ; wb_dat_o[24] ; 11.046 ; 10.619 ; 11.107 ; 11.296 ;
; wb_adr_i[3] ; wb_dat_o[25] ; 12.863 ; 12.456 ; 12.876 ; 13.152 ;
; wb_adr_i[3] ; wb_dat_o[26] ; 11.840 ; 11.432 ; 11.822 ; 12.153 ;
; wb_adr_i[3] ; wb_dat_o[27] ; 11.508 ; 11.121 ; 11.507 ; 11.833 ;
; wb_adr_i[3] ; wb_dat_o[28] ; 11.737 ; 11.312 ; 11.723 ; 12.030 ;
; wb_adr_i[3] ; wb_dat_o[29] ; 11.964 ; 11.781 ; 12.184 ; 12.252 ;
; wb_adr_i[3] ; wb_dat_o[30] ; 11.949 ; 11.723 ; 12.052 ; 12.297 ;
; wb_adr_i[3] ; wb_dat_o[31] ; 11.495 ; 10.937 ; 11.346 ; 11.767 ;
; wb_adr_i[4] ; wb_dat_o[0]  ; 11.340 ; 10.828 ; 11.366 ; 11.584 ;
; wb_adr_i[4] ; wb_dat_o[1]  ; 11.708 ; 11.280 ; 11.736 ; 12.007 ;
; wb_adr_i[4] ; wb_dat_o[2]  ; 12.141 ; 11.818 ; 12.168 ; 12.468 ;
; wb_adr_i[4] ; wb_dat_o[3]  ; 11.999 ; 11.548 ; 12.024 ; 12.277 ;
; wb_adr_i[4] ; wb_dat_o[4]  ; 13.208 ; 12.592 ; 13.054 ; 13.460 ;
; wb_adr_i[4] ; wb_dat_o[5]  ; 12.883 ; 12.531 ; 12.987 ; 13.144 ;
; wb_adr_i[4] ; wb_dat_o[6]  ; 13.322 ; 12.758 ; 13.176 ; 13.617 ;
; wb_adr_i[4] ; wb_dat_o[7]  ; 13.407 ; 12.870 ; 13.271 ; 13.728 ;
; wb_adr_i[4] ; wb_dat_o[8]  ; 11.893 ; 11.532 ; 12.008 ; 12.135 ;
; wb_adr_i[4] ; wb_dat_o[9]  ; 13.393 ; 13.076 ; 13.505 ; 13.680 ;
; wb_adr_i[4] ; wb_dat_o[10] ; 13.214 ; 12.774 ; 13.218 ; 13.509 ;
; wb_adr_i[4] ; wb_dat_o[11] ; 12.433 ; 12.266 ; 12.686 ; 12.746 ;
; wb_adr_i[4] ; wb_dat_o[12] ; 10.976 ; 10.503 ; 10.992 ; 11.234 ;
; wb_adr_i[4] ; wb_dat_o[13] ; 11.010 ; 10.537 ; 11.026 ; 11.265 ;
; wb_adr_i[4] ; wb_dat_o[14] ; 12.576 ; 12.159 ; 12.576 ; 12.896 ;
; wb_adr_i[4] ; wb_dat_o[15] ; 12.394 ; 12.293 ; 12.648 ; 12.773 ;
; wb_adr_i[4] ; wb_dat_o[16] ; 11.896 ; 11.517 ; 11.901 ; 12.251 ;
; wb_adr_i[4] ; wb_dat_o[17] ; 12.267 ; 12.094 ; 12.515 ; 12.577 ;
; wb_adr_i[4] ; wb_dat_o[18] ; 13.084 ; 12.937 ; 13.371 ; 13.410 ;
; wb_adr_i[4] ; wb_dat_o[19] ; 10.586 ; 10.139 ; 10.619 ; 10.855 ;
; wb_adr_i[4] ; wb_dat_o[20] ; 11.353 ; 10.890 ; 11.369 ; 11.620 ;
; wb_adr_i[4] ; wb_dat_o[21] ; 11.042 ; 10.812 ; 11.308 ; 11.289 ;
; wb_adr_i[4] ; wb_dat_o[22] ; 11.455 ; 11.276 ; 11.723 ; 11.796 ;
; wb_adr_i[4] ; wb_dat_o[23] ; 11.233 ; 10.766 ; 11.268 ; 11.482 ;
; wb_adr_i[4] ; wb_dat_o[24] ; 11.179 ; 10.752 ; 11.260 ; 11.449 ;
; wb_adr_i[4] ; wb_dat_o[25] ; 12.996 ; 12.589 ; 13.029 ; 13.305 ;
; wb_adr_i[4] ; wb_dat_o[26] ; 11.973 ; 11.565 ; 11.975 ; 12.306 ;
; wb_adr_i[4] ; wb_dat_o[27] ; 11.641 ; 11.254 ; 11.660 ; 11.986 ;
; wb_adr_i[4] ; wb_dat_o[28] ; 11.870 ; 11.445 ; 11.876 ; 12.183 ;
; wb_adr_i[4] ; wb_dat_o[29] ; 12.097 ; 11.914 ; 12.337 ; 12.405 ;
; wb_adr_i[4] ; wb_dat_o[30] ; 12.082 ; 11.856 ; 12.205 ; 12.450 ;
; wb_adr_i[4] ; wb_dat_o[31] ; 11.628 ; 11.070 ; 11.499 ; 11.920 ;
; wb_adr_i[5] ; wb_dat_o[0]  ; 11.659 ; 11.147 ; 11.664 ; 11.882 ;
; wb_adr_i[5] ; wb_dat_o[1]  ; 12.027 ; 11.599 ; 12.034 ; 12.305 ;
; wb_adr_i[5] ; wb_dat_o[2]  ; 12.460 ; 12.137 ; 12.466 ; 12.766 ;
; wb_adr_i[5] ; wb_dat_o[3]  ; 12.318 ; 11.867 ; 12.322 ; 12.575 ;
; wb_adr_i[5] ; wb_dat_o[4]  ; 13.527 ; 12.911 ; 13.352 ; 13.758 ;
; wb_adr_i[5] ; wb_dat_o[5]  ; 13.202 ; 12.850 ; 13.285 ; 13.442 ;
; wb_adr_i[5] ; wb_dat_o[6]  ; 13.641 ; 13.077 ; 13.474 ; 13.915 ;
; wb_adr_i[5] ; wb_dat_o[7]  ; 13.726 ; 13.189 ; 13.569 ; 14.026 ;
; wb_adr_i[5] ; wb_dat_o[8]  ; 12.212 ; 11.851 ; 12.306 ; 12.433 ;
; wb_adr_i[5] ; wb_dat_o[9]  ; 13.712 ; 13.395 ; 13.803 ; 13.978 ;
; wb_adr_i[5] ; wb_dat_o[10] ; 13.533 ; 13.093 ; 13.516 ; 13.807 ;
; wb_adr_i[5] ; wb_dat_o[11] ; 12.752 ; 12.585 ; 12.984 ; 13.044 ;
; wb_adr_i[5] ; wb_dat_o[12] ; 11.295 ; 10.822 ; 11.290 ; 11.532 ;
; wb_adr_i[5] ; wb_dat_o[13] ; 11.329 ; 10.856 ; 11.324 ; 11.563 ;
; wb_adr_i[5] ; wb_dat_o[14] ; 12.895 ; 12.478 ; 12.874 ; 13.194 ;
; wb_adr_i[5] ; wb_dat_o[15] ; 12.713 ; 12.612 ; 12.946 ; 13.071 ;
; wb_adr_i[5] ; wb_dat_o[16] ; 12.215 ; 11.836 ; 12.199 ; 12.549 ;
; wb_adr_i[5] ; wb_dat_o[17] ; 12.586 ; 12.413 ; 12.813 ; 12.875 ;
; wb_adr_i[5] ; wb_dat_o[18] ; 13.403 ; 13.256 ; 13.669 ; 13.708 ;
; wb_adr_i[5] ; wb_dat_o[19] ; 10.905 ; 10.458 ; 10.917 ; 11.153 ;
; wb_adr_i[5] ; wb_dat_o[20] ; 11.672 ; 11.209 ; 11.667 ; 11.918 ;
; wb_adr_i[5] ; wb_dat_o[21] ; 11.361 ; 11.131 ; 11.606 ; 11.587 ;
; wb_adr_i[5] ; wb_dat_o[22] ; 11.774 ; 11.595 ; 12.021 ; 12.094 ;
; wb_adr_i[5] ; wb_dat_o[23] ; 11.552 ; 11.085 ; 11.566 ; 11.780 ;
; wb_adr_i[5] ; wb_dat_o[24] ; 11.498 ; 11.071 ; 11.558 ; 11.747 ;
; wb_adr_i[5] ; wb_dat_o[25] ; 13.315 ; 12.908 ; 13.327 ; 13.603 ;
; wb_adr_i[5] ; wb_dat_o[26] ; 12.292 ; 11.884 ; 12.273 ; 12.604 ;
; wb_adr_i[5] ; wb_dat_o[27] ; 11.960 ; 11.573 ; 11.958 ; 12.284 ;
; wb_adr_i[5] ; wb_dat_o[28] ; 12.189 ; 11.764 ; 12.174 ; 12.481 ;
; wb_adr_i[5] ; wb_dat_o[29] ; 12.416 ; 12.233 ; 12.635 ; 12.703 ;
; wb_adr_i[5] ; wb_dat_o[30] ; 12.401 ; 12.175 ; 12.503 ; 12.748 ;
; wb_adr_i[5] ; wb_dat_o[31] ; 11.947 ; 11.389 ; 11.797 ; 12.218 ;
; wb_cyc_i    ; wb_dat_o[0]  ; 8.102  ; 7.945  ; 8.580  ; 8.423  ;
; wb_cyc_i    ; wb_dat_o[1]  ; 7.843  ; 7.686  ; 8.326  ; 8.169  ;
; wb_cyc_i    ; wb_dat_o[2]  ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
; wb_cyc_i    ; wb_dat_o[3]  ; 8.300  ; 8.143  ; 8.775  ; 8.618  ;
; wb_cyc_i    ; wb_dat_o[4]  ; 9.843  ; 9.686  ; 10.453 ; 10.296 ;
; wb_cyc_i    ; wb_dat_o[5]  ; 9.750  ; 9.593  ; 10.323 ; 10.166 ;
; wb_cyc_i    ; wb_dat_o[6]  ; 9.843  ; 9.686  ; 10.453 ; 10.296 ;
; wb_cyc_i    ; wb_dat_o[7]  ; 10.305 ; 10.183 ; 10.935 ; 10.813 ;
; wb_cyc_i    ; wb_dat_o[8]  ; 8.525  ; 8.368  ; 9.004  ; 8.847  ;
; wb_cyc_i    ; wb_dat_o[9]  ; 9.858  ; 9.701  ; 10.396 ; 10.239 ;
; wb_cyc_i    ; wb_dat_o[10] ; 9.767  ; 9.645  ; 10.400 ; 10.278 ;
; wb_cyc_i    ; wb_dat_o[11] ; 9.681  ; 9.524  ; 10.225 ; 10.068 ;
; wb_cyc_i    ; wb_dat_o[12] ; 8.530  ; 8.373  ; 9.010  ; 8.853  ;
; wb_cyc_i    ; wb_dat_o[13] ; 8.525  ; 8.368  ; 9.004  ; 8.847  ;
; wb_cyc_i    ; wb_dat_o[14] ; 9.858  ; 9.701  ; 10.396 ; 10.239 ;
; wb_cyc_i    ; wb_dat_o[15] ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
; wb_cyc_i    ; wb_dat_o[16] ; 9.534  ; 9.386  ; 10.081 ; 9.933  ;
; wb_cyc_i    ; wb_dat_o[17] ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
; wb_cyc_i    ; wb_dat_o[18] ; 9.862  ; 9.705  ; 10.400 ; 10.243 ;
; wb_cyc_i    ; wb_dat_o[19] ; 8.102  ; 7.945  ; 8.580  ; 8.423  ;
; wb_cyc_i    ; wb_dat_o[20] ; 8.747  ; 8.590  ; 9.236  ; 9.079  ;
; wb_cyc_i    ; wb_dat_o[21] ; 8.467  ; 8.319  ; 8.952  ; 8.804  ;
; wb_cyc_i    ; wb_dat_o[22] ; 9.319  ; 9.223  ; 9.804  ; 9.708  ;
; wb_cyc_i    ; wb_dat_o[23] ; 8.530  ; 8.373  ; 9.010  ; 8.853  ;
; wb_cyc_i    ; wb_dat_o[24] ; 8.747  ; 8.590  ; 9.236  ; 9.079  ;
; wb_cyc_i    ; wb_dat_o[25] ; 9.862  ; 9.705  ; 10.400 ; 10.243 ;
; wb_cyc_i    ; wb_dat_o[26] ; 10.034 ; 9.912  ; 10.674 ; 10.552 ;
; wb_cyc_i    ; wb_dat_o[27] ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
; wb_cyc_i    ; wb_dat_o[28] ; 10.305 ; 10.183 ; 10.935 ; 10.813 ;
; wb_cyc_i    ; wb_dat_o[29] ; 10.034 ; 9.912  ; 10.674 ; 10.552 ;
; wb_cyc_i    ; wb_dat_o[30] ; 10.038 ; 9.916  ; 10.665 ; 10.543 ;
; wb_cyc_i    ; wb_dat_o[31] ; 8.786  ; 8.629  ; 9.277  ; 9.120  ;
; wb_stb_i    ; wb_dat_o[0]  ; 8.351  ; 8.194  ; 8.864  ; 8.707  ;
; wb_stb_i    ; wb_dat_o[1]  ; 8.092  ; 7.935  ; 8.610  ; 8.453  ;
; wb_stb_i    ; wb_dat_o[2]  ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
; wb_stb_i    ; wb_dat_o[3]  ; 8.549  ; 8.392  ; 9.059  ; 8.902  ;
; wb_stb_i    ; wb_dat_o[4]  ; 10.092 ; 9.935  ; 10.737 ; 10.580 ;
; wb_stb_i    ; wb_dat_o[5]  ; 9.999  ; 9.842  ; 10.607 ; 10.450 ;
; wb_stb_i    ; wb_dat_o[6]  ; 10.092 ; 9.935  ; 10.737 ; 10.580 ;
; wb_stb_i    ; wb_dat_o[7]  ; 10.554 ; 10.432 ; 11.219 ; 11.097 ;
; wb_stb_i    ; wb_dat_o[8]  ; 8.774  ; 8.617  ; 9.288  ; 9.131  ;
; wb_stb_i    ; wb_dat_o[9]  ; 10.107 ; 9.950  ; 10.680 ; 10.523 ;
; wb_stb_i    ; wb_dat_o[10] ; 10.016 ; 9.894  ; 10.684 ; 10.562 ;
; wb_stb_i    ; wb_dat_o[11] ; 9.930  ; 9.773  ; 10.509 ; 10.352 ;
; wb_stb_i    ; wb_dat_o[12] ; 8.779  ; 8.622  ; 9.294  ; 9.137  ;
; wb_stb_i    ; wb_dat_o[13] ; 8.774  ; 8.617  ; 9.288  ; 9.131  ;
; wb_stb_i    ; wb_dat_o[14] ; 10.107 ; 9.950  ; 10.680 ; 10.523 ;
; wb_stb_i    ; wb_dat_o[15] ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
; wb_stb_i    ; wb_dat_o[16] ; 9.783  ; 9.635  ; 10.365 ; 10.217 ;
; wb_stb_i    ; wb_dat_o[17] ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
; wb_stb_i    ; wb_dat_o[18] ; 10.111 ; 9.954  ; 10.684 ; 10.527 ;
; wb_stb_i    ; wb_dat_o[19] ; 8.351  ; 8.194  ; 8.864  ; 8.707  ;
; wb_stb_i    ; wb_dat_o[20] ; 8.996  ; 8.839  ; 9.520  ; 9.363  ;
; wb_stb_i    ; wb_dat_o[21] ; 8.716  ; 8.568  ; 9.236  ; 9.088  ;
; wb_stb_i    ; wb_dat_o[22] ; 9.568  ; 9.472  ; 10.088 ; 9.992  ;
; wb_stb_i    ; wb_dat_o[23] ; 8.779  ; 8.622  ; 9.294  ; 9.137  ;
; wb_stb_i    ; wb_dat_o[24] ; 8.996  ; 8.839  ; 9.520  ; 9.363  ;
; wb_stb_i    ; wb_dat_o[25] ; 10.111 ; 9.954  ; 10.684 ; 10.527 ;
; wb_stb_i    ; wb_dat_o[26] ; 10.283 ; 10.161 ; 10.958 ; 10.836 ;
; wb_stb_i    ; wb_dat_o[27] ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
; wb_stb_i    ; wb_dat_o[28] ; 10.554 ; 10.432 ; 11.219 ; 11.097 ;
; wb_stb_i    ; wb_dat_o[29] ; 10.283 ; 10.161 ; 10.958 ; 10.836 ;
; wb_stb_i    ; wb_dat_o[30] ; 10.287 ; 10.165 ; 10.949 ; 10.827 ;
; wb_stb_i    ; wb_dat_o[31] ; 9.035  ; 8.878  ; 9.561  ; 9.404  ;
; wb_we_i     ; wb_dat_o[0]  ; 7.914  ; 7.757  ; 8.350  ; 8.193  ;
; wb_we_i     ; wb_dat_o[1]  ; 7.660  ; 7.503  ; 8.091  ; 7.934  ;
; wb_we_i     ; wb_dat_o[2]  ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
; wb_we_i     ; wb_dat_o[3]  ; 8.109  ; 7.952  ; 8.548  ; 8.391  ;
; wb_we_i     ; wb_dat_o[4]  ; 9.787  ; 9.630  ; 10.091 ; 9.934  ;
; wb_we_i     ; wb_dat_o[5]  ; 9.657  ; 9.500  ; 9.998  ; 9.841  ;
; wb_we_i     ; wb_dat_o[6]  ; 9.787  ; 9.630  ; 10.091 ; 9.934  ;
; wb_we_i     ; wb_dat_o[7]  ; 10.269 ; 10.147 ; 10.553 ; 10.431 ;
; wb_we_i     ; wb_dat_o[8]  ; 8.338  ; 8.181  ; 8.773  ; 8.616  ;
; wb_we_i     ; wb_dat_o[9]  ; 9.730  ; 9.573  ; 10.106 ; 9.949  ;
; wb_we_i     ; wb_dat_o[10] ; 9.734  ; 9.612  ; 10.015 ; 9.893  ;
; wb_we_i     ; wb_dat_o[11] ; 9.559  ; 9.402  ; 9.929  ; 9.772  ;
; wb_we_i     ; wb_dat_o[12] ; 8.344  ; 8.187  ; 8.778  ; 8.621  ;
; wb_we_i     ; wb_dat_o[13] ; 8.338  ; 8.181  ; 8.773  ; 8.616  ;
; wb_we_i     ; wb_dat_o[14] ; 9.730  ; 9.573  ; 10.106 ; 9.949  ;
; wb_we_i     ; wb_dat_o[15] ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
; wb_we_i     ; wb_dat_o[16] ; 9.415  ; 9.267  ; 9.782  ; 9.634  ;
; wb_we_i     ; wb_dat_o[17] ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
; wb_we_i     ; wb_dat_o[18] ; 9.734  ; 9.577  ; 10.110 ; 9.953  ;
; wb_we_i     ; wb_dat_o[19] ; 7.914  ; 7.757  ; 8.350  ; 8.193  ;
; wb_we_i     ; wb_dat_o[20] ; 8.570  ; 8.413  ; 8.995  ; 8.838  ;
; wb_we_i     ; wb_dat_o[21] ; 8.286  ; 8.138  ; 8.715  ; 8.567  ;
; wb_we_i     ; wb_dat_o[22] ; 9.138  ; 9.042  ; 9.567  ; 9.471  ;
; wb_we_i     ; wb_dat_o[23] ; 8.344  ; 8.187  ; 8.778  ; 8.621  ;
; wb_we_i     ; wb_dat_o[24] ; 8.570  ; 8.413  ; 8.995  ; 8.838  ;
; wb_we_i     ; wb_dat_o[25] ; 9.734  ; 9.577  ; 10.110 ; 9.953  ;
; wb_we_i     ; wb_dat_o[26] ; 10.008 ; 9.886  ; 10.282 ; 10.160 ;
; wb_we_i     ; wb_dat_o[27] ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
; wb_we_i     ; wb_dat_o[28] ; 10.269 ; 10.147 ; 10.553 ; 10.431 ;
; wb_we_i     ; wb_dat_o[29] ; 10.008 ; 9.886  ; 10.282 ; 10.160 ;
; wb_we_i     ; wb_dat_o[30] ; 9.999  ; 9.877  ; 10.286 ; 10.164 ;
; wb_we_i     ; wb_dat_o[31] ; 8.611  ; 8.454  ; 9.034  ; 8.877  ;
+-------------+--------------+--------+--------+--------+--------+


+----------------------------------------------------------------+
; Minimum Propagation Delay                                      ;
+-------------+--------------+--------+--------+--------+--------+
; Input Port  ; Output Port  ; RR     ; RF     ; FR     ; FF     ;
+-------------+--------------+--------+--------+--------+--------+
; wb_adr_i[0] ; wb_dat_o[0]  ; 8.600  ; 8.798  ; 9.375  ; 8.948  ;
; wb_adr_i[0] ; wb_dat_o[1]  ; 8.350  ; 9.151  ; 9.682  ; 8.681  ;
; wb_adr_i[0] ; wb_dat_o[2]  ; 9.685  ; 9.669  ; 10.134 ; 10.091 ;
; wb_adr_i[0] ; wb_dat_o[3]  ; 9.018  ; 9.407  ; 9.957  ; 9.357  ;
; wb_adr_i[0] ; wb_dat_o[4]  ; 9.575  ; 10.494 ; 10.997 ; 9.928  ;
; wb_adr_i[0] ; wb_dat_o[5]  ; 8.781  ; 10.352 ; 10.882 ; 9.097  ;
; wb_adr_i[0] ; wb_dat_o[6]  ; 9.372  ; 10.570 ; 11.064 ; 9.725  ;
; wb_adr_i[0] ; wb_dat_o[7]  ; 10.168 ; 10.675 ; 11.136 ; 10.563 ;
; wb_adr_i[0] ; wb_dat_o[8]  ; 9.311  ; 9.475  ; 9.989  ; 9.686  ;
; wb_adr_i[0] ; wb_dat_o[9]  ; 11.081 ; 10.959 ; 11.428 ; 11.387 ;
; wb_adr_i[0] ; wb_dat_o[10] ; 10.752 ; 10.667 ; 11.149 ; 11.073 ;
; wb_adr_i[0] ; wb_dat_o[11] ; 10.276 ; 10.182 ; 10.641 ; 10.639 ;
; wb_adr_i[0] ; wb_dat_o[12] ; 8.618  ; 8.487  ; 9.016  ; 8.894  ;
; wb_adr_i[0] ; wb_dat_o[13] ; 8.650  ; 8.520  ; 9.047  ; 8.926  ;
; wb_adr_i[0] ; wb_dat_o[14] ; 10.138 ; 10.077 ; 10.535 ; 10.483 ;
; wb_adr_i[0] ; wb_dat_o[15] ; 10.238 ; 10.207 ; 10.604 ; 10.665 ;
; wb_adr_i[0] ; wb_dat_o[16] ; 9.486  ; 9.458  ; 9.883  ; 9.864  ;
; wb_adr_i[0] ; wb_dat_o[17] ; 10.112 ; 10.015 ; 10.477 ; 10.472 ;
; wb_adr_i[0] ; wb_dat_o[18] ; 10.863 ; 10.820 ; 11.299 ; 11.214 ;
; wb_adr_i[0] ; wb_dat_o[19] ; 8.261  ; 8.138  ; 8.658  ; 8.544  ;
; wb_adr_i[0] ; wb_dat_o[20] ; 8.980  ; 8.859  ; 9.378  ; 9.266  ;
; wb_adr_i[0] ; wb_dat_o[21] ; 8.949  ; 8.781  ; 9.314  ; 9.238  ;
; wb_adr_i[0] ; wb_dat_o[22] ; 9.332  ; 9.262  ; 9.747  ; 9.686  ;
; wb_adr_i[0] ; wb_dat_o[23] ; 8.884  ; 8.740  ; 9.282  ; 9.147  ;
; wb_adr_i[0] ; wb_dat_o[24] ; 8.858  ; 8.727  ; 9.274  ; 9.152  ;
; wb_adr_i[0] ; wb_dat_o[25] ; 10.574 ; 10.489 ; 10.972 ; 10.896 ;
; wb_adr_i[0] ; wb_dat_o[26] ; 9.546  ; 9.506  ; 9.958  ; 9.927  ;
; wb_adr_i[0] ; wb_dat_o[27] ; 9.244  ; 9.208  ; 9.656  ; 9.629  ;
; wb_adr_i[0] ; wb_dat_o[28] ; 9.449  ; 9.390  ; 9.861  ; 9.811  ;
; wb_adr_i[0] ; wb_dat_o[29] ; 9.930  ; 9.841  ; 10.303 ; 10.306 ;
; wb_adr_i[0] ; wb_dat_o[30] ; 9.761  ; 9.786  ; 10.178 ; 10.153 ;
; wb_adr_i[0] ; wb_dat_o[31] ; 9.117  ; 9.032  ; 9.502  ; 9.426  ;
; wb_adr_i[1] ; wb_dat_o[0]  ; 8.664  ; 8.842  ; 9.506  ; 9.085  ;
; wb_adr_i[1] ; wb_dat_o[1]  ; 8.414  ; 9.189  ; 9.819  ; 8.818  ;
; wb_adr_i[1] ; wb_dat_o[2]  ; 9.749  ; 9.707  ; 10.271 ; 10.219 ;
; wb_adr_i[1] ; wb_dat_o[3]  ; 9.082  ; 9.444  ; 10.095 ; 9.494  ;
; wb_adr_i[1] ; wb_dat_o[4]  ; 9.639  ; 10.506 ; 11.160 ; 10.065 ;
; wb_adr_i[1] ; wb_dat_o[5]  ; 8.845  ; 10.397 ; 11.084 ; 9.234  ;
; wb_adr_i[1] ; wb_dat_o[6]  ; 9.436  ; 10.581 ; 11.228 ; 9.862  ;
; wb_adr_i[1] ; wb_dat_o[7]  ; 10.232 ; 10.686 ; 11.300 ; 10.700 ;
; wb_adr_i[1] ; wb_dat_o[8]  ; 9.375  ; 9.458  ; 10.122 ; 9.823  ;
; wb_adr_i[1] ; wb_dat_o[9]  ; 11.044 ; 11.003 ; 11.631 ; 11.509 ;
; wb_adr_i[1] ; wb_dat_o[10] ; 10.765 ; 10.689 ; 11.302 ; 11.217 ;
; wb_adr_i[1] ; wb_dat_o[11] ; 10.257 ; 10.255 ; 10.826 ; 10.732 ;
; wb_adr_i[1] ; wb_dat_o[12] ; 8.632  ; 8.510  ; 9.168  ; 9.037  ;
; wb_adr_i[1] ; wb_dat_o[13] ; 8.663  ; 8.542  ; 9.200  ; 9.070  ;
; wb_adr_i[1] ; wb_dat_o[14] ; 10.151 ; 10.099 ; 10.688 ; 10.627 ;
; wb_adr_i[1] ; wb_dat_o[15] ; 10.220 ; 10.281 ; 10.788 ; 10.757 ;
; wb_adr_i[1] ; wb_dat_o[16] ; 9.499  ; 9.480  ; 10.036 ; 10.008 ;
; wb_adr_i[1] ; wb_dat_o[17] ; 10.093 ; 10.088 ; 10.662 ; 10.565 ;
; wb_adr_i[1] ; wb_dat_o[18] ; 10.915 ; 10.830 ; 11.413 ; 11.375 ;
; wb_adr_i[1] ; wb_dat_o[19] ; 8.274  ; 8.160  ; 8.811  ; 8.688  ;
; wb_adr_i[1] ; wb_dat_o[20] ; 8.994  ; 8.882  ; 9.530  ; 9.409  ;
; wb_adr_i[1] ; wb_dat_o[21] ; 8.930  ; 8.854  ; 9.499  ; 9.331  ;
; wb_adr_i[1] ; wb_dat_o[22] ; 9.363  ; 9.302  ; 9.882  ; 9.812  ;
; wb_adr_i[1] ; wb_dat_o[23] ; 8.898  ; 8.763  ; 9.434  ; 9.290  ;
; wb_adr_i[1] ; wb_dat_o[24] ; 8.890  ; 8.768  ; 9.408  ; 9.277  ;
; wb_adr_i[1] ; wb_dat_o[25] ; 10.588 ; 10.512 ; 11.124 ; 11.039 ;
; wb_adr_i[1] ; wb_dat_o[26] ; 9.574  ; 9.543  ; 10.096 ; 10.056 ;
; wb_adr_i[1] ; wb_dat_o[27] ; 9.272  ; 9.245  ; 9.794  ; 9.758  ;
; wb_adr_i[1] ; wb_dat_o[28] ; 9.477  ; 9.427  ; 9.999  ; 9.940  ;
; wb_adr_i[1] ; wb_dat_o[29] ; 9.919  ; 9.922  ; 10.480 ; 10.391 ;
; wb_adr_i[1] ; wb_dat_o[30] ; 9.794  ; 9.769  ; 10.311 ; 10.336 ;
; wb_adr_i[1] ; wb_dat_o[31] ; 9.118  ; 9.042  ; 9.667  ; 9.582  ;
; wb_adr_i[2] ; wb_dat_o[0]  ; 10.645 ; 10.224 ; 10.660 ; 10.839 ;
; wb_adr_i[2] ; wb_dat_o[1]  ; 10.958 ; 9.957  ; 10.410 ; 11.186 ;
; wb_adr_i[2] ; wb_dat_o[2]  ; 11.410 ; 11.358 ; 11.745 ; 11.704 ;
; wb_adr_i[2] ; wb_dat_o[3]  ; 11.234 ; 10.633 ; 11.078 ; 11.441 ;
; wb_adr_i[2] ; wb_dat_o[4]  ; 12.299 ; 11.204 ; 11.635 ; 12.503 ;
; wb_adr_i[2] ; wb_dat_o[5]  ; 12.223 ; 10.373 ; 10.841 ; 12.394 ;
; wb_adr_i[2] ; wb_dat_o[6]  ; 12.367 ; 11.001 ; 11.432 ; 12.578 ;
; wb_adr_i[2] ; wb_dat_o[7]  ; 12.439 ; 11.839 ; 12.228 ; 12.683 ;
; wb_adr_i[2] ; wb_dat_o[8]  ; 11.261 ; 10.962 ; 11.371 ; 11.455 ;
; wb_adr_i[2] ; wb_dat_o[9]  ; 12.770 ; 12.648 ; 13.041 ; 13.000 ;
; wb_adr_i[2] ; wb_dat_o[10] ; 12.441 ; 12.356 ; 12.762 ; 12.686 ;
; wb_adr_i[2] ; wb_dat_o[11] ; 11.965 ; 11.871 ; 12.254 ; 12.252 ;
; wb_adr_i[2] ; wb_dat_o[12] ; 10.307 ; 10.176 ; 10.629 ; 10.507 ;
; wb_adr_i[2] ; wb_dat_o[13] ; 10.339 ; 10.209 ; 10.660 ; 10.539 ;
; wb_adr_i[2] ; wb_dat_o[14] ; 11.827 ; 11.766 ; 12.148 ; 12.096 ;
; wb_adr_i[2] ; wb_dat_o[15] ; 11.927 ; 11.896 ; 12.217 ; 12.278 ;
; wb_adr_i[2] ; wb_dat_o[16] ; 11.175 ; 11.147 ; 11.496 ; 11.477 ;
; wb_adr_i[2] ; wb_dat_o[17] ; 11.801 ; 11.704 ; 12.090 ; 12.085 ;
; wb_adr_i[2] ; wb_dat_o[18] ; 12.552 ; 12.514 ; 12.912 ; 12.827 ;
; wb_adr_i[2] ; wb_dat_o[19] ; 9.950  ; 9.827  ; 10.271 ; 10.157 ;
; wb_adr_i[2] ; wb_dat_o[20] ; 10.669 ; 10.548 ; 10.991 ; 10.879 ;
; wb_adr_i[2] ; wb_dat_o[21] ; 10.638 ; 10.470 ; 10.927 ; 10.851 ;
; wb_adr_i[2] ; wb_dat_o[22] ; 11.021 ; 10.951 ; 11.360 ; 11.299 ;
; wb_adr_i[2] ; wb_dat_o[23] ; 10.573 ; 10.429 ; 10.895 ; 10.760 ;
; wb_adr_i[2] ; wb_dat_o[24] ; 10.547 ; 10.416 ; 10.887 ; 10.765 ;
; wb_adr_i[2] ; wb_dat_o[25] ; 12.263 ; 12.178 ; 12.585 ; 12.509 ;
; wb_adr_i[2] ; wb_dat_o[26] ; 11.235 ; 11.195 ; 11.571 ; 11.540 ;
; wb_adr_i[2] ; wb_dat_o[27] ; 10.933 ; 10.897 ; 11.269 ; 11.242 ;
; wb_adr_i[2] ; wb_dat_o[28] ; 11.138 ; 11.079 ; 11.474 ; 11.424 ;
; wb_adr_i[2] ; wb_dat_o[29] ; 11.619 ; 11.530 ; 11.916 ; 11.919 ;
; wb_adr_i[2] ; wb_dat_o[30] ; 11.450 ; 11.475 ; 11.791 ; 11.766 ;
; wb_adr_i[2] ; wb_dat_o[31] ; 10.806 ; 10.721 ; 11.115 ; 11.039 ;
; wb_adr_i[3] ; wb_dat_o[0]  ; 10.473 ; 10.052 ; 10.496 ; 10.675 ;
; wb_adr_i[3] ; wb_dat_o[1]  ; 10.786 ; 9.785  ; 10.246 ; 11.022 ;
; wb_adr_i[3] ; wb_dat_o[2]  ; 11.238 ; 11.186 ; 11.581 ; 11.540 ;
; wb_adr_i[3] ; wb_dat_o[3]  ; 11.062 ; 10.461 ; 10.914 ; 11.277 ;
; wb_adr_i[3] ; wb_dat_o[4]  ; 12.127 ; 11.032 ; 11.471 ; 12.339 ;
; wb_adr_i[3] ; wb_dat_o[5]  ; 12.051 ; 10.201 ; 10.677 ; 12.230 ;
; wb_adr_i[3] ; wb_dat_o[6]  ; 12.195 ; 10.829 ; 11.268 ; 12.414 ;
; wb_adr_i[3] ; wb_dat_o[7]  ; 12.267 ; 11.667 ; 12.064 ; 12.519 ;
; wb_adr_i[3] ; wb_dat_o[8]  ; 11.089 ; 10.790 ; 11.207 ; 11.291 ;
; wb_adr_i[3] ; wb_dat_o[9]  ; 12.598 ; 12.476 ; 12.877 ; 12.836 ;
; wb_adr_i[3] ; wb_dat_o[10] ; 12.269 ; 12.184 ; 12.598 ; 12.522 ;
; wb_adr_i[3] ; wb_dat_o[11] ; 11.793 ; 11.699 ; 12.090 ; 12.088 ;
; wb_adr_i[3] ; wb_dat_o[12] ; 10.135 ; 10.004 ; 10.465 ; 10.343 ;
; wb_adr_i[3] ; wb_dat_o[13] ; 10.167 ; 10.037 ; 10.496 ; 10.375 ;
; wb_adr_i[3] ; wb_dat_o[14] ; 11.655 ; 11.594 ; 11.984 ; 11.932 ;
; wb_adr_i[3] ; wb_dat_o[15] ; 11.755 ; 11.724 ; 12.053 ; 12.114 ;
; wb_adr_i[3] ; wb_dat_o[16] ; 11.003 ; 10.975 ; 11.332 ; 11.313 ;
; wb_adr_i[3] ; wb_dat_o[17] ; 11.629 ; 11.532 ; 11.926 ; 11.921 ;
; wb_adr_i[3] ; wb_dat_o[18] ; 12.380 ; 12.342 ; 12.748 ; 12.663 ;
; wb_adr_i[3] ; wb_dat_o[19] ; 9.778  ; 9.655  ; 10.107 ; 9.993  ;
; wb_adr_i[3] ; wb_dat_o[20] ; 10.497 ; 10.376 ; 10.827 ; 10.715 ;
; wb_adr_i[3] ; wb_dat_o[21] ; 10.466 ; 10.298 ; 10.763 ; 10.687 ;
; wb_adr_i[3] ; wb_dat_o[22] ; 10.849 ; 10.779 ; 11.196 ; 11.135 ;
; wb_adr_i[3] ; wb_dat_o[23] ; 10.401 ; 10.257 ; 10.731 ; 10.596 ;
; wb_adr_i[3] ; wb_dat_o[24] ; 10.375 ; 10.244 ; 10.723 ; 10.601 ;
; wb_adr_i[3] ; wb_dat_o[25] ; 12.091 ; 12.006 ; 12.421 ; 12.345 ;
; wb_adr_i[3] ; wb_dat_o[26] ; 11.063 ; 11.023 ; 11.407 ; 11.376 ;
; wb_adr_i[3] ; wb_dat_o[27] ; 10.761 ; 10.725 ; 11.105 ; 11.078 ;
; wb_adr_i[3] ; wb_dat_o[28] ; 10.966 ; 10.907 ; 11.310 ; 11.260 ;
; wb_adr_i[3] ; wb_dat_o[29] ; 11.447 ; 11.358 ; 11.752 ; 11.755 ;
; wb_adr_i[3] ; wb_dat_o[30] ; 11.278 ; 11.303 ; 11.627 ; 11.602 ;
; wb_adr_i[3] ; wb_dat_o[31] ; 10.634 ; 10.549 ; 10.951 ; 10.875 ;
; wb_adr_i[4] ; wb_dat_o[0]  ; 10.600 ; 10.179 ; 10.643 ; 10.822 ;
; wb_adr_i[4] ; wb_dat_o[1]  ; 10.913 ; 9.912  ; 10.393 ; 11.169 ;
; wb_adr_i[4] ; wb_dat_o[2]  ; 11.365 ; 11.313 ; 11.728 ; 11.687 ;
; wb_adr_i[4] ; wb_dat_o[3]  ; 11.189 ; 10.588 ; 11.061 ; 11.424 ;
; wb_adr_i[4] ; wb_dat_o[4]  ; 12.254 ; 11.159 ; 11.618 ; 12.486 ;
; wb_adr_i[4] ; wb_dat_o[5]  ; 12.178 ; 10.328 ; 10.824 ; 12.377 ;
; wb_adr_i[4] ; wb_dat_o[6]  ; 12.322 ; 10.956 ; 11.415 ; 12.561 ;
; wb_adr_i[4] ; wb_dat_o[7]  ; 12.394 ; 11.794 ; 12.211 ; 12.666 ;
; wb_adr_i[4] ; wb_dat_o[8]  ; 11.216 ; 10.917 ; 11.354 ; 11.438 ;
; wb_adr_i[4] ; wb_dat_o[9]  ; 12.725 ; 12.603 ; 13.024 ; 12.983 ;
; wb_adr_i[4] ; wb_dat_o[10] ; 12.396 ; 12.311 ; 12.745 ; 12.669 ;
; wb_adr_i[4] ; wb_dat_o[11] ; 11.920 ; 11.826 ; 12.237 ; 12.235 ;
; wb_adr_i[4] ; wb_dat_o[12] ; 10.262 ; 10.131 ; 10.612 ; 10.490 ;
; wb_adr_i[4] ; wb_dat_o[13] ; 10.294 ; 10.164 ; 10.643 ; 10.522 ;
; wb_adr_i[4] ; wb_dat_o[14] ; 11.782 ; 11.721 ; 12.131 ; 12.079 ;
; wb_adr_i[4] ; wb_dat_o[15] ; 11.882 ; 11.851 ; 12.200 ; 12.261 ;
; wb_adr_i[4] ; wb_dat_o[16] ; 11.130 ; 11.102 ; 11.479 ; 11.460 ;
; wb_adr_i[4] ; wb_dat_o[17] ; 11.756 ; 11.659 ; 12.073 ; 12.068 ;
; wb_adr_i[4] ; wb_dat_o[18] ; 12.507 ; 12.469 ; 12.895 ; 12.810 ;
; wb_adr_i[4] ; wb_dat_o[19] ; 9.905  ; 9.782  ; 10.254 ; 10.140 ;
; wb_adr_i[4] ; wb_dat_o[20] ; 10.624 ; 10.503 ; 10.974 ; 10.862 ;
; wb_adr_i[4] ; wb_dat_o[21] ; 10.593 ; 10.425 ; 10.910 ; 10.834 ;
; wb_adr_i[4] ; wb_dat_o[22] ; 10.976 ; 10.906 ; 11.343 ; 11.282 ;
; wb_adr_i[4] ; wb_dat_o[23] ; 10.528 ; 10.384 ; 10.878 ; 10.743 ;
; wb_adr_i[4] ; wb_dat_o[24] ; 10.502 ; 10.371 ; 10.870 ; 10.748 ;
; wb_adr_i[4] ; wb_dat_o[25] ; 12.218 ; 12.133 ; 12.568 ; 12.492 ;
; wb_adr_i[4] ; wb_dat_o[26] ; 11.190 ; 11.150 ; 11.554 ; 11.523 ;
; wb_adr_i[4] ; wb_dat_o[27] ; 10.888 ; 10.852 ; 11.252 ; 11.225 ;
; wb_adr_i[4] ; wb_dat_o[28] ; 11.093 ; 11.034 ; 11.457 ; 11.407 ;
; wb_adr_i[4] ; wb_dat_o[29] ; 11.574 ; 11.485 ; 11.899 ; 11.902 ;
; wb_adr_i[4] ; wb_dat_o[30] ; 11.405 ; 11.430 ; 11.774 ; 11.749 ;
; wb_adr_i[4] ; wb_dat_o[31] ; 10.761 ; 10.676 ; 11.098 ; 11.022 ;
; wb_adr_i[5] ; wb_dat_o[0]  ; 10.908 ; 10.487 ; 10.929 ; 11.108 ;
; wb_adr_i[5] ; wb_dat_o[1]  ; 11.221 ; 10.220 ; 10.679 ; 11.455 ;
; wb_adr_i[5] ; wb_dat_o[2]  ; 11.673 ; 11.621 ; 12.014 ; 11.973 ;
; wb_adr_i[5] ; wb_dat_o[3]  ; 11.497 ; 10.896 ; 11.347 ; 11.710 ;
; wb_adr_i[5] ; wb_dat_o[4]  ; 12.562 ; 11.467 ; 11.904 ; 12.772 ;
; wb_adr_i[5] ; wb_dat_o[5]  ; 12.486 ; 10.636 ; 11.110 ; 12.663 ;
; wb_adr_i[5] ; wb_dat_o[6]  ; 12.630 ; 11.264 ; 11.701 ; 12.847 ;
; wb_adr_i[5] ; wb_dat_o[7]  ; 12.702 ; 12.102 ; 12.497 ; 12.952 ;
; wb_adr_i[5] ; wb_dat_o[8]  ; 11.524 ; 11.225 ; 11.640 ; 11.724 ;
; wb_adr_i[5] ; wb_dat_o[9]  ; 13.033 ; 12.911 ; 13.310 ; 13.269 ;
; wb_adr_i[5] ; wb_dat_o[10] ; 12.704 ; 12.619 ; 13.031 ; 12.955 ;
; wb_adr_i[5] ; wb_dat_o[11] ; 12.228 ; 12.134 ; 12.523 ; 12.521 ;
; wb_adr_i[5] ; wb_dat_o[12] ; 10.570 ; 10.439 ; 10.898 ; 10.776 ;
; wb_adr_i[5] ; wb_dat_o[13] ; 10.602 ; 10.472 ; 10.929 ; 10.808 ;
; wb_adr_i[5] ; wb_dat_o[14] ; 12.090 ; 12.029 ; 12.417 ; 12.365 ;
; wb_adr_i[5] ; wb_dat_o[15] ; 12.190 ; 12.159 ; 12.486 ; 12.547 ;
; wb_adr_i[5] ; wb_dat_o[16] ; 11.438 ; 11.410 ; 11.765 ; 11.746 ;
; wb_adr_i[5] ; wb_dat_o[17] ; 12.064 ; 11.967 ; 12.359 ; 12.354 ;
; wb_adr_i[5] ; wb_dat_o[18] ; 12.815 ; 12.777 ; 13.181 ; 13.096 ;
; wb_adr_i[5] ; wb_dat_o[19] ; 10.213 ; 10.090 ; 10.540 ; 10.426 ;
; wb_adr_i[5] ; wb_dat_o[20] ; 10.932 ; 10.811 ; 11.260 ; 11.148 ;
; wb_adr_i[5] ; wb_dat_o[21] ; 10.901 ; 10.733 ; 11.196 ; 11.120 ;
; wb_adr_i[5] ; wb_dat_o[22] ; 11.284 ; 11.214 ; 11.629 ; 11.568 ;
; wb_adr_i[5] ; wb_dat_o[23] ; 10.836 ; 10.692 ; 11.164 ; 11.029 ;
; wb_adr_i[5] ; wb_dat_o[24] ; 10.810 ; 10.679 ; 11.156 ; 11.034 ;
; wb_adr_i[5] ; wb_dat_o[25] ; 12.526 ; 12.441 ; 12.854 ; 12.778 ;
; wb_adr_i[5] ; wb_dat_o[26] ; 11.498 ; 11.458 ; 11.840 ; 11.809 ;
; wb_adr_i[5] ; wb_dat_o[27] ; 11.196 ; 11.160 ; 11.538 ; 11.511 ;
; wb_adr_i[5] ; wb_dat_o[28] ; 11.401 ; 11.342 ; 11.743 ; 11.693 ;
; wb_adr_i[5] ; wb_dat_o[29] ; 11.882 ; 11.793 ; 12.185 ; 12.188 ;
; wb_adr_i[5] ; wb_dat_o[30] ; 11.713 ; 11.738 ; 12.060 ; 12.035 ;
; wb_adr_i[5] ; wb_dat_o[31] ; 11.069 ; 10.984 ; 11.384 ; 11.308 ;
; wb_cyc_i    ; wb_dat_o[0]  ; 7.780  ; 7.623  ; 8.245  ; 8.088  ;
; wb_cyc_i    ; wb_dat_o[1]  ; 7.531  ; 7.374  ; 8.001  ; 7.844  ;
; wb_cyc_i    ; wb_dat_o[2]  ; 9.515  ; 9.358  ; 10.120 ; 9.963  ;
; wb_cyc_i    ; wb_dat_o[3]  ; 7.970  ; 7.813  ; 8.432  ; 8.275  ;
; wb_cyc_i    ; wb_dat_o[4]  ; 9.451  ; 9.294  ; 10.043 ; 9.886  ;
; wb_cyc_i    ; wb_dat_o[5]  ; 9.362  ; 9.205  ; 9.918  ; 9.761  ;
; wb_cyc_i    ; wb_dat_o[6]  ; 9.451  ; 9.294  ; 10.043 ; 9.886  ;
; wb_cyc_i    ; wb_dat_o[7]  ; 9.929  ; 9.807  ; 10.540 ; 10.418 ;
; wb_cyc_i    ; wb_dat_o[8]  ; 8.186  ; 8.029  ; 8.653  ; 8.496  ;
; wb_cyc_i    ; wb_dat_o[9]  ; 9.465  ; 9.308  ; 9.988  ; 9.831  ;
; wb_cyc_i    ; wb_dat_o[10] ; 9.413  ; 9.291  ; 10.027 ; 9.905  ;
; wb_cyc_i    ; wb_dat_o[11] ; 9.296  ; 9.139  ; 9.824  ; 9.667  ;
; wb_cyc_i    ; wb_dat_o[12] ; 8.190  ; 8.033  ; 8.658  ; 8.501  ;
; wb_cyc_i    ; wb_dat_o[13] ; 8.186  ; 8.029  ; 8.653  ; 8.496  ;
; wb_cyc_i    ; wb_dat_o[14] ; 9.465  ; 9.308  ; 9.988  ; 9.831  ;
; wb_cyc_i    ; wb_dat_o[15] ; 9.515  ; 9.358  ; 10.120 ; 9.963  ;
; wb_cyc_i    ; wb_dat_o[16] ; 9.151  ; 9.003  ; 9.682  ; 9.534  ;
; wb_cyc_i    ; wb_dat_o[17] ; 9.515  ; 9.358  ; 10.120 ; 9.963  ;
; wb_cyc_i    ; wb_dat_o[18] ; 9.469  ; 9.312  ; 9.992  ; 9.835  ;
; wb_cyc_i    ; wb_dat_o[19] ; 7.780  ; 7.623  ; 8.245  ; 8.088  ;
; wb_cyc_i    ; wb_dat_o[20] ; 8.399  ; 8.242  ; 8.875  ; 8.718  ;
; wb_cyc_i    ; wb_dat_o[21] ; 8.126  ; 7.978  ; 8.598  ; 8.450  ;
; wb_cyc_i    ; wb_dat_o[22] ; 8.978  ; 8.882  ; 9.450  ; 9.354  ;
; wb_cyc_i    ; wb_dat_o[23] ; 8.190  ; 8.033  ; 8.658  ; 8.501  ;
; wb_cyc_i    ; wb_dat_o[24] ; 8.399  ; 8.242  ; 8.875  ; 8.718  ;
; wb_cyc_i    ; wb_dat_o[25] ; 9.469  ; 9.312  ; 9.992  ; 9.835  ;
; wb_cyc_i    ; wb_dat_o[26] ; 9.669  ; 9.547  ; 10.290 ; 10.168 ;
; wb_cyc_i    ; wb_dat_o[27] ; 9.515  ; 9.358  ; 10.120 ; 9.963  ;
; wb_cyc_i    ; wb_dat_o[28] ; 9.929  ; 9.807  ; 10.540 ; 10.418 ;
; wb_cyc_i    ; wb_dat_o[29] ; 9.669  ; 9.547  ; 10.290 ; 10.168 ;
; wb_cyc_i    ; wb_dat_o[30] ; 9.673  ; 9.551  ; 10.281 ; 10.159 ;
; wb_cyc_i    ; wb_dat_o[31] ; 8.436  ; 8.279  ; 8.914  ; 8.757  ;
; wb_stb_i    ; wb_dat_o[0]  ; 8.008  ; 7.851  ; 8.495  ; 8.338  ;
; wb_stb_i    ; wb_dat_o[1]  ; 7.759  ; 7.602  ; 8.251  ; 8.094  ;
; wb_stb_i    ; wb_dat_o[2]  ; 9.743  ; 9.586  ; 10.370 ; 10.213 ;
; wb_stb_i    ; wb_dat_o[3]  ; 8.198  ; 8.041  ; 8.682  ; 8.525  ;
; wb_stb_i    ; wb_dat_o[4]  ; 9.679  ; 9.522  ; 10.293 ; 10.136 ;
; wb_stb_i    ; wb_dat_o[5]  ; 9.590  ; 9.433  ; 10.168 ; 10.011 ;
; wb_stb_i    ; wb_dat_o[6]  ; 9.679  ; 9.522  ; 10.293 ; 10.136 ;
; wb_stb_i    ; wb_dat_o[7]  ; 10.157 ; 10.035 ; 10.790 ; 10.668 ;
; wb_stb_i    ; wb_dat_o[8]  ; 8.414  ; 8.257  ; 8.903  ; 8.746  ;
; wb_stb_i    ; wb_dat_o[9]  ; 9.693  ; 9.536  ; 10.238 ; 10.081 ;
; wb_stb_i    ; wb_dat_o[10] ; 9.641  ; 9.519  ; 10.277 ; 10.155 ;
; wb_stb_i    ; wb_dat_o[11] ; 9.524  ; 9.367  ; 10.074 ; 9.917  ;
; wb_stb_i    ; wb_dat_o[12] ; 8.418  ; 8.261  ; 8.908  ; 8.751  ;
; wb_stb_i    ; wb_dat_o[13] ; 8.414  ; 8.257  ; 8.903  ; 8.746  ;
; wb_stb_i    ; wb_dat_o[14] ; 9.693  ; 9.536  ; 10.238 ; 10.081 ;
; wb_stb_i    ; wb_dat_o[15] ; 9.743  ; 9.586  ; 10.370 ; 10.213 ;
; wb_stb_i    ; wb_dat_o[16] ; 9.379  ; 9.231  ; 9.932  ; 9.784  ;
; wb_stb_i    ; wb_dat_o[17] ; 9.743  ; 9.586  ; 10.370 ; 10.213 ;
; wb_stb_i    ; wb_dat_o[18] ; 9.697  ; 9.540  ; 10.242 ; 10.085 ;
; wb_stb_i    ; wb_dat_o[19] ; 8.008  ; 7.851  ; 8.495  ; 8.338  ;
; wb_stb_i    ; wb_dat_o[20] ; 8.627  ; 8.470  ; 9.125  ; 8.968  ;
; wb_stb_i    ; wb_dat_o[21] ; 8.354  ; 8.206  ; 8.848  ; 8.700  ;
; wb_stb_i    ; wb_dat_o[22] ; 9.206  ; 9.110  ; 9.700  ; 9.604  ;
; wb_stb_i    ; wb_dat_o[23] ; 8.418  ; 8.261  ; 8.908  ; 8.751  ;
; wb_stb_i    ; wb_dat_o[24] ; 8.627  ; 8.470  ; 9.125  ; 8.968  ;
; wb_stb_i    ; wb_dat_o[25] ; 9.697  ; 9.540  ; 10.242 ; 10.085 ;
; wb_stb_i    ; wb_dat_o[26] ; 9.897  ; 9.775  ; 10.540 ; 10.418 ;
; wb_stb_i    ; wb_dat_o[27] ; 9.743  ; 9.586  ; 10.370 ; 10.213 ;
; wb_stb_i    ; wb_dat_o[28] ; 10.157 ; 10.035 ; 10.790 ; 10.668 ;
; wb_stb_i    ; wb_dat_o[29] ; 9.897  ; 9.775  ; 10.540 ; 10.418 ;
; wb_stb_i    ; wb_dat_o[30] ; 9.901  ; 9.779  ; 10.531 ; 10.409 ;
; wb_stb_i    ; wb_dat_o[31] ; 8.664  ; 8.507  ; 9.164  ; 9.007  ;
; wb_we_i     ; wb_dat_o[0]  ; 7.600  ; 7.443  ; 8.026  ; 7.869  ;
; wb_we_i     ; wb_dat_o[1]  ; 7.356  ; 7.199  ; 7.777  ; 7.620  ;
; wb_we_i     ; wb_dat_o[2]  ; 9.475  ; 9.318  ; 9.761  ; 9.604  ;
; wb_we_i     ; wb_dat_o[3]  ; 7.787  ; 7.630  ; 8.216  ; 8.059  ;
; wb_we_i     ; wb_dat_o[4]  ; 9.398  ; 9.241  ; 9.697  ; 9.540  ;
; wb_we_i     ; wb_dat_o[5]  ; 9.273  ; 9.116  ; 9.608  ; 9.451  ;
; wb_we_i     ; wb_dat_o[6]  ; 9.398  ; 9.241  ; 9.697  ; 9.540  ;
; wb_we_i     ; wb_dat_o[7]  ; 9.895  ; 9.773  ; 10.175 ; 10.053 ;
; wb_we_i     ; wb_dat_o[8]  ; 8.008  ; 7.851  ; 8.432  ; 8.275  ;
; wb_we_i     ; wb_dat_o[9]  ; 9.343  ; 9.186  ; 9.711  ; 9.554  ;
; wb_we_i     ; wb_dat_o[10] ; 9.382  ; 9.260  ; 9.659  ; 9.537  ;
; wb_we_i     ; wb_dat_o[11] ; 9.179  ; 9.022  ; 9.542  ; 9.385  ;
; wb_we_i     ; wb_dat_o[12] ; 8.013  ; 7.856  ; 8.436  ; 8.279  ;
; wb_we_i     ; wb_dat_o[13] ; 8.008  ; 7.851  ; 8.432  ; 8.275  ;
; wb_we_i     ; wb_dat_o[14] ; 9.343  ; 9.186  ; 9.711  ; 9.554  ;
; wb_we_i     ; wb_dat_o[15] ; 9.475  ; 9.318  ; 9.761  ; 9.604  ;
; wb_we_i     ; wb_dat_o[16] ; 9.037  ; 8.889  ; 9.397  ; 9.249  ;
; wb_we_i     ; wb_dat_o[17] ; 9.475  ; 9.318  ; 9.761  ; 9.604  ;
; wb_we_i     ; wb_dat_o[18] ; 9.347  ; 9.190  ; 9.715  ; 9.558  ;
; wb_we_i     ; wb_dat_o[19] ; 7.600  ; 7.443  ; 8.026  ; 7.869  ;
; wb_we_i     ; wb_dat_o[20] ; 8.230  ; 8.073  ; 8.645  ; 8.488  ;
; wb_we_i     ; wb_dat_o[21] ; 7.953  ; 7.805  ; 8.372  ; 8.224  ;
; wb_we_i     ; wb_dat_o[22] ; 8.805  ; 8.709  ; 9.224  ; 9.128  ;
; wb_we_i     ; wb_dat_o[23] ; 8.013  ; 7.856  ; 8.436  ; 8.279  ;
; wb_we_i     ; wb_dat_o[24] ; 8.230  ; 8.073  ; 8.645  ; 8.488  ;
; wb_we_i     ; wb_dat_o[25] ; 9.347  ; 9.190  ; 9.715  ; 9.558  ;
; wb_we_i     ; wb_dat_o[26] ; 9.645  ; 9.523  ; 9.915  ; 9.793  ;
; wb_we_i     ; wb_dat_o[27] ; 9.475  ; 9.318  ; 9.761  ; 9.604  ;
; wb_we_i     ; wb_dat_o[28] ; 9.895  ; 9.773  ; 10.175 ; 10.053 ;
; wb_we_i     ; wb_dat_o[29] ; 9.645  ; 9.523  ; 9.915  ; 9.793  ;
; wb_we_i     ; wb_dat_o[30] ; 9.636  ; 9.514  ; 9.919  ; 9.797  ;
; wb_we_i     ; wb_dat_o[31] ; 8.269  ; 8.112  ; 8.682  ; 8.525  ;
+-------------+--------------+--------+--------+--------+--------+


----------------------------------------------
; Slow 1200mV 85C Model Metastability Report ;
----------------------------------------------
No synchronizer chains to report.


+--------------------------------------------------+
; Slow 1200mV 0C Model Fmax Summary                ;
+------------+-----------------+------------+------+
; Fmax       ; Restricted Fmax ; Clock Name ; Note ;
+------------+-----------------+------------+------+
; 164.31 MHz ; 164.31 MHz      ; wb_clk_i   ;      ;
+------------+-----------------+------------+------+
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.


+------------------------------------+
; Slow 1200mV 0C Model Setup Summary ;
+----------+--------+----------------+
; Clock    ; Slack  ; End Point TNS  ;
+----------+--------+----------------+
; wb_clk_i ; -2.543 ; -348.373       ;
+----------+--------+----------------+


+-----------------------------------+
; Slow 1200mV 0C Model Hold Summary ;
+----------+-------+----------------+
; Clock    ; Slack ; End Point TNS  ;
+----------+-------+----------------+
; wb_clk_i ; 0.310 ; 0.000          ;
+----------+-------+----------------+


+---------------------------------------+
; Slow 1200mV 0C Model Recovery Summary ;
+----------+--------+-------------------+
; Clock    ; Slack  ; End Point TNS     ;
+----------+--------+-------------------+
; wb_clk_i ; -2.156 ; -225.904          ;
+----------+--------+-------------------+


+--------------------------------------+
; Slow 1200mV 0C Model Removal Summary ;
+----------+-------+-------------------+
; Clock    ; Slack ; End Point TNS     ;
+----------+-------+-------------------+
; wb_clk_i ; 2.084 ; 0.000             ;
+----------+-------+-------------------+


+--------------------------------------------------+
; Slow 1200mV 0C Model Minimum Pulse Width Summary ;
+----------+--------+------------------------------+
; Clock    ; Slack  ; End Point TNS                ;
+----------+--------+------------------------------+
; wb_clk_i ; -3.000 ; -306.000                     ;
+----------+--------+------------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 0C Model Setup: 'wb_clk_i'                                                                                                   ;
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                     ; To Node   ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
; -2.543 ; p2pCnt[1]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.864      ;
; -2.530 ; p2pCnt[1]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.851      ;
; -2.463 ; pulseCnt[30]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.452      ;
; -2.461 ; pulseCnt[30]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.450      ;
; -2.461 ; pulseCnt[30]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.450      ;
; -2.451 ; pulseCnt[31]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.440      ;
; -2.449 ; pulseCnt[31]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.438      ;
; -2.449 ; pulseCnt[31]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.438      ;
; -2.398 ; bitCountReg[6]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.695      ;
; -2.385 ; bitCountReg[6]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.682      ;
; -2.375 ; wb_interface_wieg:wb_interface|p2p[20]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.697      ;
; -2.372 ; wb_interface_wieg:wb_interface|p2p[20]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.694      ;
; -2.371 ; wb_interface_wieg:wb_interface|p2p[18]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.693      ;
; -2.370 ; wb_interface_wieg:wb_interface|p2p[18]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.692      ;
; -2.367 ; p2pCnt[2]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.688      ;
; -2.365 ; p2pCnt[2]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.686      ;
; -2.358 ; pulseCnt[31]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.347      ;
; -2.349 ; pulseCnt[30]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.338      ;
; -2.345 ; pulseCnt[0]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.667      ;
; -2.343 ; pulseCnt[0]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.665      ;
; -2.343 ; pulseCnt[0]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.665      ;
; -2.334 ; bitCountReg[5]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.631      ;
; -2.322 ; p2pCnt[0]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.643      ;
; -2.321 ; bitCountReg[5]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.618      ;
; -2.309 ; p2pCnt[0]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.630      ;
; -2.309 ; pulseCnt[3]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.631      ;
; -2.307 ; pulseCnt[3]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.629      ;
; -2.307 ; pulseCnt[3]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.629      ;
; -2.293 ; pulseCnt[15]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.615      ;
; -2.293 ; pulseCnt[10]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.615      ;
; -2.291 ; pulseCnt[15]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.613      ;
; -2.291 ; pulseCnt[15]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.613      ;
; -2.291 ; pulseCnt[10]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.613      ;
; -2.291 ; pulseCnt[10]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.613      ;
; -2.285 ; pulseCnt[2]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.607      ;
; -2.283 ; pulseCnt[2]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.605      ;
; -2.283 ; pulseCnt[2]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.605      ;
; -2.278 ; pulseCnt[11]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.600      ;
; -2.276 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.260      ;
; -2.276 ; pulseCnt[11]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.598      ;
; -2.276 ; pulseCnt[11]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.598      ;
; -2.274 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.258      ;
; -2.274 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.258      ;
; -2.273 ; bitCount[1]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.570      ;
; -2.271 ; bitCount[1]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.568      ;
; -2.270 ; bitCount[4]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.567      ;
; -2.268 ; bitCount[4]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.565      ;
; -2.266 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.250      ;
; -2.264 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.248      ;
; -2.264 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.248      ;
; -2.258 ; bitCountReg[0]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.555      ;
; -2.255 ; bitCountReg[4]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.552      ;
; -2.248 ; bitCountReg[3]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.545      ;
; -2.245 ; bitCountReg[0]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.542      ;
; -2.242 ; bitCountReg[4]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.539      ;
; -2.235 ; bitCountReg[3]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.532      ;
; -2.231 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.215      ;
; -2.229 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.213      ;
; -2.229 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.213      ;
; -2.228 ; pulseCnt[28]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.217      ;
; -2.226 ; pulseCnt[28]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.215      ;
; -2.226 ; pulseCnt[28]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.506     ; 2.215      ;
; -2.226 ; pulseCnt[7]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.505     ; 2.216      ;
; -2.224 ; pulseCnt[7]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.505     ; 2.214      ;
; -2.224 ; pulseCnt[7]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.505     ; 2.214      ;
; -2.219 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.203      ;
; -2.217 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.201      ;
; -2.217 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.511     ; 2.201      ;
; -2.215 ; wb_interface_wieg:wb_interface|p2p[22]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.537      ;
; -2.210 ; wb_interface_wieg:wb_interface|p2p[24]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.532      ;
; -2.206 ; pulseCnt[19]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.527      ;
; -2.204 ; pulseCnt[19]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.525      ;
; -2.204 ; pulseCnt[19]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.525      ;
; -2.197 ; p2pCnt[4]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.518      ;
; -2.194 ; wb_interface_wieg:wb_interface|p2p[22]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.516      ;
; -2.191 ; pulseCnt[8]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.513      ;
; -2.189 ; pulseCnt[8]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.511      ;
; -2.189 ; pulseCnt[8]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.511      ;
; -2.189 ; wb_interface_wieg:wb_interface|p2p[24]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.511      ;
; -2.188 ; wb_interface_wieg:wb_interface|p2p[3]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.485      ;
; -2.187 ; pulseCnt[12]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.509      ;
; -2.187 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.509      ;
; -2.186 ; wb_interface_wieg:wb_interface|p2p[3]         ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.483      ;
; -2.186 ; p2pCnt[3]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.507      ;
; -2.185 ; pulseCnt[12]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.507      ;
; -2.185 ; pulseCnt[12]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.507      ;
; -2.185 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.507      ;
; -2.185 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.507      ;
; -2.184 ; p2pCnt[4]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.505      ;
; -2.184 ; pulseCnt[20]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.505      ;
; -2.182 ; pulseCnt[20]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.503      ;
; -2.182 ; pulseCnt[20]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.503      ;
; -2.181 ; p2pCnt[3]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.174     ; 2.502      ;
; -2.174 ; bitCountReg[2]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.198     ; 2.471      ;
; -2.171 ; wb_interface_wieg:wb_interface|p2p[9]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.493      ;
; -2.171 ; wb_interface_wieg:wb_interface|p2p[31]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.493      ;
; -2.170 ; wb_interface_wieg:wb_interface|p2p[9]         ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.492      ;
; -2.166 ; pulseCnt[4]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.488      ;
; -2.165 ; wb_interface_wieg:wb_interface|pulsewidth[5]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.487      ;
; -2.164 ; pulseCnt[4]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.173     ; 2.486      ;
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 0C Model Hold: 'wb_clk_i'                                                                                                                                                                                                          ;
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node                                                                                   ; To Node                                                            ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; 0.310 ; word_out[0]                                                                                 ; word_out[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.511      ;
; 0.310 ; state.111                                                                                   ; state.111                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.511      ;
; 0.310 ; state.100                                                                                   ; state.100                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.511      ;
; 0.310 ; state.000                                                                                   ; state.000                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.511      ;
; 0.311 ; zero_o~reg0                                                                                 ; zero_o~reg0                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.511      ;
; 0.311 ; one_o~reg0                                                                                  ; one_o~reg0                                                         ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.511      ;
; 0.330 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.070      ; 0.544      ;
; 0.335 ; word_out[24]                                                                                ; word_out[25]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.536      ;
; 0.335 ; word_out[18]                                                                                ; word_out[19]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.536      ;
; 0.336 ; word_out[4]                                                                                 ; word_out[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.537      ;
; 0.345 ; p2pCnt[4]                                                                                   ; p2pCnt[4]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.545      ;
; 0.371 ; state.110                                                                                   ; state.101                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.383      ; 0.898      ;
; 0.430 ; pulseCnt[27]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 0.962      ;
; 0.432 ; pulseCnt[25]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 0.964      ;
; 0.433 ; word_out[3]                                                                                 ; word_out[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.634      ;
; 0.434 ; word_out[25]                                                                                ; word_out[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.635      ;
; 0.434 ; word_out[20]                                                                                ; word_out[21]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.635      ;
; 0.434 ; word_out[17]                                                                                ; word_out[18]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.635      ;
; 0.434 ; word_out[5]                                                                                 ; word_out[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.635      ;
; 0.435 ; word_out[22]                                                                                ; word_out[23]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.636      ;
; 0.435 ; word_out[19]                                                                                ; word_out[20]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.636      ;
; 0.435 ; word_out[11]                                                                                ; word_out[12]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.636      ;
; 0.435 ; word_out[1]                                                                                 ; word_out[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.636      ;
; 0.435 ; pulseCnt[6]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 0.967      ;
; 0.436 ; word_out[16]                                                                                ; word_out[17]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.637      ;
; 0.436 ; word_out[2]                                                                                 ; word_out[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.637      ;
; 0.445 ; pulseCnt[24]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 0.977      ;
; 0.456 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.070      ; 0.670      ;
; 0.469 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.669      ;
; 0.476 ; word_out[28]                                                                                ; word_out[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.677      ;
; 0.482 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.683      ;
; 0.483 ; word_out[27]                                                                                ; word_out[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.684      ;
; 0.505 ; pulseCnt[31]                                                                                ; pulseCnt[31]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.069      ; 0.718      ;
; 0.505 ; pulseCnt[29]                                                                                ; pulseCnt[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.069      ; 0.718      ;
; 0.505 ; pulseCnt[7]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.069      ; 0.718      ;
; 0.507 ; pulseCnt[30]                                                                                ; pulseCnt[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.069      ; 0.720      ;
; 0.508 ; pulseCnt[28]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.069      ; 0.721      ;
; 0.508 ; pulseCnt[26]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.069      ; 0.721      ;
; 0.510 ; full_dly                                                                                    ; wb_interface_wieg:wb_interface|err                                 ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.710      ;
; 0.515 ; word_out[14]                                                                                ; word_out[15]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.716      ;
; 0.516 ; word_out[23]                                                                                ; word_out[24]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.717      ;
; 0.516 ; word_out[10]                                                                                ; word_out[11]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.717      ;
; 0.516 ; pulseCnt[15]                                                                                ; pulseCnt[15]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.716      ;
; 0.516 ; pulseCnt[1]                                                                                 ; pulseCnt[1]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.716      ;
; 0.517 ; word_out[8]                                                                                 ; word_out[9]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.718      ;
; 0.517 ; pulseCnt[19]                                                                                ; pulseCnt[19]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.717      ;
; 0.517 ; pulseCnt[17]                                                                                ; pulseCnt[17]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.717      ;
; 0.517 ; pulseCnt[13]                                                                                ; pulseCnt[13]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.717      ;
; 0.517 ; pulseCnt[11]                                                                                ; pulseCnt[11]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.717      ;
; 0.517 ; pulseCnt[5]                                                                                 ; pulseCnt[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.717      ;
; 0.517 ; pulseCnt[3]                                                                                 ; pulseCnt[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.717      ;
; 0.518 ; word_out[13]                                                                                ; word_out[14]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.719      ;
; 0.518 ; word_out[6]                                                                                 ; word_out[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.719      ;
; 0.518 ; bitCount[1]                                                                                 ; bitCount[1]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.718      ;
; 0.518 ; pulseCnt[27]                                                                                ; pulseCnt[27]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.718      ;
; 0.518 ; pulseCnt[21]                                                                                ; pulseCnt[21]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.718      ;
; 0.518 ; pulseCnt[9]                                                                                 ; pulseCnt[9]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.718      ;
; 0.518 ; pulseCnt[6]                                                                                 ; pulseCnt[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.718      ;
; 0.518 ; pulseCnt[5]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.050      ;
; 0.519 ; bitCountReg[3]                                                                              ; bitCountReg[3]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; bitCountReg[2]                                                                              ; bitCountReg[2]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; bitCount[5]                                                                                 ; bitCount[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; bitCount[3]                                                                                 ; bitCount[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; bitCount[2]                                                                                 ; bitCount[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; pulseCnt[27]                                                                                ; pulseCnt[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.051      ;
; 0.519 ; pulseCnt[25]                                                                                ; pulseCnt[25]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; pulseCnt[23]                                                                                ; pulseCnt[23]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; pulseCnt[22]                                                                                ; pulseCnt[22]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; pulseCnt[18]                                                                                ; pulseCnt[18]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; pulseCnt[16]                                                                                ; pulseCnt[16]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; pulseCnt[14]                                                                                ; pulseCnt[14]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; p2pCnt[3]                                                                                   ; p2pCnt[3]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; p2pCnt[1]                                                                                   ; p2pCnt[1]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; pulseCnt[4]                                                                                 ; pulseCnt[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.519 ; pulseCnt[2]                                                                                 ; pulseCnt[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.719      ;
; 0.520 ; bitCount[4]                                                                                 ; bitCount[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.720      ;
; 0.520 ; pulseCnt[20]                                                                                ; pulseCnt[20]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.720      ;
; 0.520 ; pulseCnt[12]                                                                                ; pulseCnt[12]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.720      ;
; 0.520 ; pulseCnt[10]                                                                                ; pulseCnt[10]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.720      ;
; 0.520 ; pulseCnt[8]                                                                                 ; pulseCnt[8]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.720      ;
; 0.521 ; pulseCnt[24]                                                                                ; pulseCnt[24]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.721      ;
; 0.521 ; p2pCnt[2]                                                                                   ; p2pCnt[2]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.721      ;
; 0.522 ; bitCount[6]                                                                                 ; bitCount[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.722      ;
; 0.524 ; bitCountReg[4]                                                                              ; bitCountReg[4]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.724      ;
; 0.524 ; bitCountReg[5]                                                                              ; bitCountReg[5]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.724      ;
; 0.524 ; bitCountReg[1]                                                                              ; bitCountReg[1]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.724      ;
; 0.526 ; bitCountReg[6]                                                                              ; bitCountReg[6]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.726      ;
; 0.526 ; pulseCnt[27]                                                                                ; pulseCnt[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.058      ;
; 0.528 ; pulseCnt[25]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.060      ;
; 0.528 ; pulseCnt[23]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.060      ;
; 0.532 ; pulseCnt[4]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.064      ;
; 0.534 ; pulseCnt[0]                                                                                 ; pulseCnt[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.734      ;
; 0.535 ; word_out[12]                                                                                ; word_out[13]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.736      ;
; 0.535 ; bitCount[0]                                                                                 ; bitCount[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.735      ;
; 0.535 ; p2pCnt[0]                                                                                   ; p2pCnt[0]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.735      ;
; 0.537 ; word_out[9]                                                                                 ; word_out[10]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.738      ;
; 0.539 ; pulseCnt[22]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.071      ;
; 0.541 ; pulseCnt[24]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.388      ; 1.073      ;
; 0.564 ; word_out[21]                                                                                ; word_out[22]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.057      ; 0.765      ;
; 0.585 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[5] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.056      ; 0.785      ;
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 0C Model Recovery: 'wb_clk_i'                                                                                                                                                                           ;
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node                              ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
; -2.156 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.072     ; 3.079      ;
; -2.154 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 3.079      ;
; -2.154 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[2]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 3.079      ;
; -2.154 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 3.079      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
; -1.853 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.078     ; 2.770      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.071     ; 2.776      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
; -1.852 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.073     ; 2.774      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.070     ; 2.776      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
; -1.851 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.075     ; 2.771      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.214      ; 2.769      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
; -1.560 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.219      ; 2.774      ;
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.777      ;
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.777      ;
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.777      ;
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.221      ; 2.775      ;
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.777      ;
; -1.559 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.777      ;
; -1.558 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.776      ;
; -1.558 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.776      ;
; -1.558 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.776      ;
; -1.558 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.776      ;
; -1.558 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.776      ;
; -1.558 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.223      ; 2.776      ;
; -1.536 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.244      ; 2.775      ;
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
; -1.533 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.245      ; 2.773      ;
; -1.531 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.249      ; 2.775      ;
; -1.531 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.249      ; 2.775      ;
; -1.531 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.249      ; 2.775      ;
; -1.525 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.257      ; 2.777      ;
; -1.525 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.257      ; 2.777      ;
; -1.525 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.257      ; 2.777      ;
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 0C Model Removal: 'wb_clk_i'                                                                                                                                                                           ;
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node                              ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
; 2.084 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.645      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.646      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.646      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.646      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.417      ; 2.646      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.415      ; 2.644      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.637      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
; 2.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.413      ; 2.642      ;
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.645      ;
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.645      ;
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.408      ; 2.645      ;
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
; 2.093 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.404      ; 2.641      ;
; 2.098 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.403      ; 2.645      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.381      ; 2.646      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.381      ; 2.646      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.380      ; 2.645      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.381      ; 2.646      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.381      ; 2.646      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.380      ; 2.645      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.381      ; 2.646      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.380      ; 2.645      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.381      ; 2.646      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.379      ; 2.644      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.372      ; 2.637      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.380      ; 2.645      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.380      ; 2.645      ;
; 2.121 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.377      ; 2.642      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.075      ; 2.644      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
; 2.425 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.073      ; 2.642      ;
; 2.426 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.070      ; 2.640      ;
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 0C Model Minimum Pulse Width: 'wb_clk_i'                                                                                                                      ;
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type       ; Clock    ; Clock Edge ; Target                                                                                      ;
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; wb_clk_i ; Rise       ; wb_clk_i                                                                                    ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[0]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[1]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[2]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[3]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[4]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[5]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[6]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[0]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[1]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[2]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[3]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[4]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[5]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[6]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[2]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ;
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+


+---------------------------------------------------------------------------+
; Setup Times                                                               ;
+---------------+------------+-------+-------+------------+-----------------+
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+---------------+------------+-------+-------+------------+-----------------+
; wb_adr_i[*]   ; wb_clk_i   ; 3.746 ; 4.094 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; 2.263 ; 2.598 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; 3.496 ; 3.844 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; 3.334 ; 3.699 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; 3.456 ; 3.834 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; 3.746 ; 4.094 ; Rise       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; 2.603 ; 2.979 ; Rise       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; 2.840 ; 3.236 ; Rise       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; 2.205 ; 2.575 ; Rise       ; wb_clk_i        ;
; wb_adr_i[*]   ; wb_clk_i   ; 6.334 ; 6.793 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; 4.548 ; 4.980 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[1]  ; wb_clk_i   ; 4.679 ; 4.982 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; 6.084 ; 6.543 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; 5.922 ; 6.398 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; 6.044 ; 6.533 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; 6.334 ; 6.793 ; Fall       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; 3.989 ; 4.293 ; Fall       ; wb_clk_i        ;
; wb_dat_i[*]   ; wb_clk_i   ; 2.473 ; 2.851 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[0]  ; wb_clk_i   ; 1.948 ; 2.306 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[1]  ; wb_clk_i   ; 1.508 ; 1.852 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[2]  ; wb_clk_i   ; 1.366 ; 1.715 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[3]  ; wb_clk_i   ; 1.943 ; 2.296 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[4]  ; wb_clk_i   ; 1.985 ; 2.350 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[5]  ; wb_clk_i   ; 1.741 ; 2.099 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[6]  ; wb_clk_i   ; 2.279 ; 2.707 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[7]  ; wb_clk_i   ; 1.722 ; 2.088 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[8]  ; wb_clk_i   ; 2.168 ; 2.541 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[9]  ; wb_clk_i   ; 1.752 ; 2.156 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[10] ; wb_clk_i   ; 2.218 ; 2.584 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[11] ; wb_clk_i   ; 1.974 ; 2.346 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[12] ; wb_clk_i   ; 1.689 ; 2.088 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[13] ; wb_clk_i   ; 2.297 ; 2.683 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[14] ; wb_clk_i   ; 2.153 ; 2.556 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[15] ; wb_clk_i   ; 1.904 ; 2.273 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[16] ; wb_clk_i   ; 1.931 ; 2.309 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[17] ; wb_clk_i   ; 2.100 ; 2.481 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[18] ; wb_clk_i   ; 1.941 ; 2.327 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[19] ; wb_clk_i   ; 1.977 ; 2.329 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[20] ; wb_clk_i   ; 2.473 ; 2.851 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[21] ; wb_clk_i   ; 2.045 ; 2.441 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[22] ; wb_clk_i   ; 1.062 ; 1.407 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[23] ; wb_clk_i   ; 1.761 ; 2.074 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[24] ; wb_clk_i   ; 2.042 ; 2.396 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[25] ; wb_clk_i   ; 1.680 ; 2.084 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[26] ; wb_clk_i   ; 1.770 ; 2.196 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[27] ; wb_clk_i   ; 1.836 ; 2.221 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[28] ; wb_clk_i   ; 1.591 ; 1.928 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[29] ; wb_clk_i   ; 1.913 ; 2.322 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[30] ; wb_clk_i   ; 2.103 ; 2.527 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[31] ; wb_clk_i   ; 2.441 ; 2.810 ; Fall       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; 4.226 ; 4.550 ; Fall       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; 3.239 ; 3.519 ; Fall       ; wb_clk_i        ;
+---------------+------------+-------+-------+------------+-----------------+


+-----------------------------------------------------------------------------+
; Hold Times                                                                  ;
+---------------+------------+--------+--------+------------+-----------------+
; Data Port     ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
+---------------+------------+--------+--------+------------+-----------------+
; wb_adr_i[*]   ; wb_clk_i   ; -1.280 ; -1.604 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; -1.280 ; -1.604 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; -2.871 ; -3.221 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; -2.714 ; -3.082 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; -2.831 ; -3.211 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; -3.111 ; -3.462 ; Rise       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; -1.601 ; -1.974 ; Rise       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; -1.817 ; -2.197 ; Rise       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; -1.219 ; -1.583 ; Rise       ; wb_clk_i        ;
; wb_adr_i[*]   ; wb_clk_i   ; -1.789 ; -2.144 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; -1.789 ; -2.144 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[1]  ; wb_clk_i   ; -2.391 ; -2.811 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; -3.904 ; -4.269 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; -3.747 ; -4.130 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; -3.864 ; -4.259 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; -4.144 ; -4.510 ; Fall       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; -2.362 ; -2.724 ; Fall       ; wb_clk_i        ;
; wb_dat_i[*]   ; wb_clk_i   ; -0.348 ; -0.675 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[0]  ; wb_clk_i   ; -0.619 ; -0.943 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[1]  ; wb_clk_i   ; -0.405 ; -0.732 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[2]  ; wb_clk_i   ; -0.587 ; -0.930 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[3]  ; wb_clk_i   ; -0.528 ; -0.871 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[4]  ; wb_clk_i   ; -0.950 ; -1.318 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[5]  ; wb_clk_i   ; -0.348 ; -0.675 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[6]  ; wb_clk_i   ; -1.266 ; -1.664 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[7]  ; wb_clk_i   ; -0.666 ; -1.005 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[8]  ; wb_clk_i   ; -0.841 ; -1.206 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[9]  ; wb_clk_i   ; -0.894 ; -1.277 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[10] ; wb_clk_i   ; -1.433 ; -1.788 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[11] ; wb_clk_i   ; -1.121 ; -1.467 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[12] ; wb_clk_i   ; -0.831 ; -1.192 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[13] ; wb_clk_i   ; -1.167 ; -1.523 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[14] ; wb_clk_i   ; -0.986 ; -1.347 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[15] ; wb_clk_i   ; -0.886 ; -1.267 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[16] ; wb_clk_i   ; -1.183 ; -1.543 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[17] ; wb_clk_i   ; -1.000 ; -1.346 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[18] ; wb_clk_i   ; -1.005 ; -1.384 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[19] ; wb_clk_i   ; -1.048 ; -1.397 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[20] ; wb_clk_i   ; -1.404 ; -1.756 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[21] ; wb_clk_i   ; -1.207 ; -1.580 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[22] ; wb_clk_i   ; -0.654 ; -0.976 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[23] ; wb_clk_i   ; -0.868 ; -1.173 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[24] ; wb_clk_i   ; -0.766 ; -1.119 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[25] ; wb_clk_i   ; -0.894 ; -1.283 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[26] ; wb_clk_i   ; -0.919 ; -1.315 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[27] ; wb_clk_i   ; -1.004 ; -1.397 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[28] ; wb_clk_i   ; -0.631 ; -0.971 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[29] ; wb_clk_i   ; -0.852 ; -1.231 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[30] ; wb_clk_i   ; -1.032 ; -1.439 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[31] ; wb_clk_i   ; -1.694 ; -2.041 ; Fall       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; -2.572 ; -2.947 ; Fall       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; -1.641 ; -1.979 ; Fall       ; wb_clk_i        ;
+---------------+------------+--------+--------+------------+-----------------+


+---------------------------------------------------------------------------+
; Clock to Output Times                                                     ;
+---------------+------------+-------+-------+------------+-----------------+
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+---------------+------------+-------+-------+------------+-----------------+
; wb_ack_o      ; wb_clk_i   ; 6.366 ; 6.331 ; Rise       ; wb_clk_i        ;
; wb_err_o      ; wb_clk_i   ; 6.130 ; 6.044 ; Rise       ; wb_clk_i        ;
; wb_rty_o      ; wb_clk_i   ; 5.640 ; 5.562 ; Rise       ; wb_clk_i        ;
; one_o         ; wb_clk_i   ; 6.339 ; 6.380 ; Fall       ; wb_clk_i        ;
; wb_dat_o[*]   ; wb_clk_i   ; 8.736 ; 8.655 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[0]  ; wb_clk_i   ; 7.193 ; 6.984 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[1]  ; wb_clk_i   ; 8.184 ; 8.052 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[2]  ; wb_clk_i   ; 7.681 ; 7.634 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[3]  ; wb_clk_i   ; 7.512 ; 7.314 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[4]  ; wb_clk_i   ; 7.916 ; 7.795 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[5]  ; wb_clk_i   ; 7.445 ; 7.297 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[6]  ; wb_clk_i   ; 8.018 ; 7.935 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[7]  ; wb_clk_i   ; 8.214 ; 8.102 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[8]  ; wb_clk_i   ; 7.272 ; 7.111 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[9]  ; wb_clk_i   ; 8.736 ; 8.655 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[10] ; wb_clk_i   ; 8.348 ; 8.254 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[11] ; wb_clk_i   ; 7.559 ; 7.448 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[12] ; wb_clk_i   ; 6.620 ; 6.467 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[13] ; wb_clk_i   ; 6.637 ; 6.470 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[14] ; wb_clk_i   ; 7.750 ; 7.700 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[15] ; wb_clk_i   ; 7.545 ; 7.485 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[16] ; wb_clk_i   ; 7.136 ; 7.112 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[17] ; wb_clk_i   ; 7.278 ; 7.205 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[18] ; wb_clk_i   ; 8.012 ; 7.943 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[19] ; wb_clk_i   ; 5.909 ; 5.826 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[20] ; wb_clk_i   ; 6.684 ; 6.571 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[21] ; wb_clk_i   ; 6.147 ; 6.040 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[22] ; wb_clk_i   ; 7.078 ; 7.043 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[23] ; wb_clk_i   ; 7.072 ; 6.872 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[24] ; wb_clk_i   ; 6.873 ; 6.711 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[25] ; wb_clk_i   ; 8.273 ; 8.178 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[26] ; wb_clk_i   ; 7.389 ; 7.355 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[27] ; wb_clk_i   ; 7.074 ; 7.033 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[28] ; wb_clk_i   ; 7.319 ; 7.259 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[29] ; wb_clk_i   ; 7.311 ; 7.238 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[30] ; wb_clk_i   ; 6.671 ; 6.635 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[31] ; wb_clk_i   ; 6.447 ; 6.376 ; Fall       ; wb_clk_i        ;
; zero_o        ; wb_clk_i   ; 8.151 ; 8.164 ; Fall       ; wb_clk_i        ;
+---------------+------------+-------+-------+------------+-----------------+


+---------------------------------------------------------------------------+
; Minimum Clock to Output Times                                             ;
+---------------+------------+-------+-------+------------+-----------------+
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+---------------+------------+-------+-------+------------+-----------------+
; wb_ack_o      ; wb_clk_i   ; 6.178 ; 6.143 ; Rise       ; wb_clk_i        ;
; wb_err_o      ; wb_clk_i   ; 5.923 ; 5.838 ; Rise       ; wb_clk_i        ;
; wb_rty_o      ; wb_clk_i   ; 5.452 ; 5.374 ; Rise       ; wb_clk_i        ;
; one_o         ; wb_clk_i   ; 6.129 ; 6.173 ; Fall       ; wb_clk_i        ;
; wb_dat_o[*]   ; wb_clk_i   ; 5.521 ; 5.390 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[0]  ; wb_clk_i   ; 6.419 ; 6.283 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[1]  ; wb_clk_i   ; 5.871 ; 5.762 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[2]  ; wb_clk_i   ; 7.069 ; 6.955 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[3]  ; wb_clk_i   ; 5.962 ; 5.847 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[4]  ; wb_clk_i   ; 6.428 ; 6.260 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[5]  ; wb_clk_i   ; 6.104 ; 5.976 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[6]  ; wb_clk_i   ; 6.644 ; 6.540 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[7]  ; wb_clk_i   ; 6.992 ; 6.902 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[8]  ; wb_clk_i   ; 6.269 ; 6.087 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[9]  ; wb_clk_i   ; 7.614 ; 7.534 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[10] ; wb_clk_i   ; 7.824 ; 7.677 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[11] ; wb_clk_i   ; 7.165 ; 7.036 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[12] ; wb_clk_i   ; 5.865 ; 5.702 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[13] ; wb_clk_i   ; 5.895 ; 5.734 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[14] ; wb_clk_i   ; 7.249 ; 7.143 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[15] ; wb_clk_i   ; 7.144 ; 7.065 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[16] ; wb_clk_i   ; 6.655 ; 6.576 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[17] ; wb_clk_i   ; 7.014 ; 6.897 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[18] ; wb_clk_i   ; 7.717 ; 7.604 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[19] ; wb_clk_i   ; 5.521 ; 5.390 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[20] ; wb_clk_i   ; 6.207 ; 6.039 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[21] ; wb_clk_i   ; 5.923 ; 5.775 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[22] ; wb_clk_i   ; 6.662 ; 6.634 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[23] ; wb_clk_i   ; 6.112 ; 5.926 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[24] ; wb_clk_i   ; 6.114 ; 5.943 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[25] ; wb_clk_i   ; 7.633 ; 7.516 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[26] ; wb_clk_i   ; 6.904 ; 6.812 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[27] ; wb_clk_i   ; 6.634 ; 6.544 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[28] ; wb_clk_i   ; 6.828 ; 6.710 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[29] ; wb_clk_i   ; 7.043 ; 6.927 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[30] ; wb_clk_i   ; 6.438 ; 6.359 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[31] ; wb_clk_i   ; 6.032 ; 5.912 ; Fall       ; wb_clk_i        ;
; zero_o        ; wb_clk_i   ; 7.870 ; 7.886 ; Fall       ; wb_clk_i        ;
+---------------+------------+-------+-------+------------+-----------------+


+----------------------------------------------------------------+
; Propagation Delay                                              ;
+-------------+--------------+--------+--------+--------+--------+
; Input Port  ; Output Port  ; RR     ; RF     ; FR     ; FF     ;
+-------------+--------------+--------+--------+--------+--------+
; wb_adr_i[0] ; wb_dat_o[0]  ; 8.299  ; 8.409  ; 8.932  ; 8.439  ;
; wb_adr_i[0] ; wb_dat_o[1]  ; 8.632  ; 8.786  ; 9.251  ; 8.840  ;
; wb_adr_i[0] ; wb_dat_o[2]  ; 9.023  ; 9.202  ; 9.656  ; 9.288  ;
; wb_adr_i[0] ; wb_dat_o[3]  ; 8.902  ; 9.023  ; 9.523  ; 9.075  ;
; wb_adr_i[0] ; wb_dat_o[4]  ; 9.856  ; 10.117 ; 10.618 ; 10.008 ;
; wb_adr_i[0] ; wb_dat_o[5]  ; 9.826  ; 9.831  ; 10.329 ; 9.986  ;
; wb_adr_i[0] ; wb_dat_o[6]  ; 9.965  ; 10.258 ; 10.718 ; 10.156 ;
; wb_adr_i[0] ; wb_dat_o[7]  ; 10.044 ; 10.344 ; 10.792 ; 10.242 ;
; wb_adr_i[0] ; wb_dat_o[8]  ; 8.865  ; 8.927  ; 9.425  ; 9.032  ;
; wb_adr_i[0] ; wb_dat_o[9]  ; 10.279 ; 10.341 ; 10.773 ; 10.503 ;
; wb_adr_i[0] ; wb_dat_o[10] ; 10.001 ; 10.154 ; 10.633 ; 10.196 ;
; wb_adr_i[0] ; wb_dat_o[11] ; 9.536  ; 9.462  ; 9.917  ; 9.775  ;
; wb_adr_i[0] ; wb_dat_o[12] ; 7.970  ; 8.106  ; 8.593  ; 8.147  ;
; wb_adr_i[0] ; wb_dat_o[13] ; 7.994  ; 8.132  ; 8.618  ; 8.176  ;
; wb_adr_i[0] ; wb_dat_o[14] ; 9.405  ; 9.605  ; 10.041 ; 9.644  ;
; wb_adr_i[0] ; wb_dat_o[15] ; 9.514  ; 9.491  ; 9.895  ; 9.805  ;
; wb_adr_i[0] ; wb_dat_o[16] ; 8.789  ; 9.012  ; 9.420  ; 9.054  ;
; wb_adr_i[0] ; wb_dat_o[17] ; 9.382  ; 9.323  ; 9.767  ; 9.632  ;
; wb_adr_i[0] ; wb_dat_o[18] ; 10.084 ; 10.082 ; 10.510 ; 10.334 ;
; wb_adr_i[0] ; wb_dat_o[19] ; 7.613  ; 7.779  ; 8.223  ; 7.823  ;
; wb_adr_i[0] ; wb_dat_o[20] ; 8.325  ; 8.456  ; 8.948  ; 8.497  ;
; wb_adr_i[0] ; wb_dat_o[21] ; 8.258  ; 8.160  ; 8.631  ; 8.472  ;
; wb_adr_i[0] ; wb_dat_o[22] ; 8.553  ; 8.577  ; 8.974  ; 8.820  ;
; wb_adr_i[0] ; wb_dat_o[23] ; 8.225  ; 8.332  ; 8.833  ; 8.378  ;
; wb_adr_i[0] ; wb_dat_o[24] ; 8.203  ; 8.298  ; 8.785  ; 8.384  ;
; wb_adr_i[0] ; wb_dat_o[25] ; 9.812  ; 9.992  ; 10.421 ; 10.037 ;
; wb_adr_i[0] ; wb_dat_o[26] ; 8.848  ; 9.054  ; 9.491  ; 9.098  ;
; wb_adr_i[0] ; wb_dat_o[27] ; 8.568  ; 8.771  ; 9.199  ; 8.821  ;
; wb_adr_i[0] ; wb_dat_o[28] ; 8.767  ; 8.944  ; 9.407  ; 8.990  ;
; wb_adr_i[0] ; wb_dat_o[29] ; 9.215  ; 9.149  ; 9.610  ; 9.458  ;
; wb_adr_i[0] ; wb_dat_o[30] ; 9.045  ; 9.206  ; 9.595  ; 9.319  ;
; wb_adr_i[0] ; wb_dat_o[31] ; 8.434  ; 8.741  ; 9.175  ; 8.646  ;
; wb_adr_i[1] ; wb_dat_o[0]  ; 8.352  ; 8.464  ; 9.047  ; 8.511  ;
; wb_adr_i[1] ; wb_dat_o[1]  ; 8.676  ; 8.841  ; 9.366  ; 8.921  ;
; wb_adr_i[1] ; wb_dat_o[2]  ; 9.066  ; 9.257  ; 9.771  ; 9.403  ;
; wb_adr_i[1] ; wb_dat_o[3]  ; 8.945  ; 9.078  ; 9.638  ; 9.157  ;
; wb_adr_i[1] ; wb_dat_o[4]  ; 9.891  ; 10.172 ; 10.733 ; 10.098 ;
; wb_adr_i[1] ; wb_dat_o[5]  ; 9.832  ; 9.886  ; 10.444 ; 10.032 ;
; wb_adr_i[1] ; wb_dat_o[6]  ; 9.999  ; 10.313 ; 10.833 ; 10.247 ;
; wb_adr_i[1] ; wb_dat_o[7]  ; 10.079 ; 10.399 ; 10.907 ; 10.332 ;
; wb_adr_i[1] ; wb_dat_o[8]  ; 8.940  ; 8.982  ; 9.540  ; 9.139  ;
; wb_adr_i[1] ; wb_dat_o[9]  ; 10.283 ; 10.396 ; 10.888 ; 10.551 ;
; wb_adr_i[1] ; wb_dat_o[10] ; 10.047 ; 10.209 ; 10.748 ; 10.275 ;
; wb_adr_i[1] ; wb_dat_o[11] ; 9.553  ; 9.517  ; 10.032 ; 9.802  ;
; wb_adr_i[1] ; wb_dat_o[12] ; 8.014  ; 8.161  ; 8.708  ; 8.228  ;
; wb_adr_i[1] ; wb_dat_o[13] ; 8.040  ; 8.187  ; 8.733  ; 8.255  ;
; wb_adr_i[1] ; wb_dat_o[14] ; 9.451  ; 9.660  ; 10.156 ; 9.723  ;
; wb_adr_i[1] ; wb_dat_o[15] ; 9.531  ; 9.546  ; 10.010 ; 9.832  ;
; wb_adr_i[1] ; wb_dat_o[16] ; 8.835  ; 9.067  ; 9.535  ; 9.133  ;
; wb_adr_i[1] ; wb_dat_o[17] ; 9.398  ; 9.378  ; 9.882  ; 9.660  ;
; wb_adr_i[1] ; wb_dat_o[18] ; 10.168 ; 10.137 ; 10.625 ; 10.432 ;
; wb_adr_i[1] ; wb_dat_o[19] ; 7.656  ; 7.834  ; 8.338  ; 7.905  ;
; wb_adr_i[1] ; wb_dat_o[20] ; 8.369  ; 8.511  ; 9.063  ; 8.578  ;
; wb_adr_i[1] ; wb_dat_o[21] ; 8.272  ; 8.215  ; 8.746  ; 8.502  ;
; wb_adr_i[1] ; wb_dat_o[22] ; 8.609  ; 8.632  ; 9.089  ; 8.889  ;
; wb_adr_i[1] ; wb_dat_o[23] ; 8.268  ; 8.387  ; 8.948  ; 8.460  ;
; wb_adr_i[1] ; wb_dat_o[24] ; 8.259  ; 8.353  ; 8.900  ; 8.453  ;
; wb_adr_i[1] ; wb_dat_o[25] ; 9.855  ; 10.047 ; 10.536 ; 10.119 ;
; wb_adr_i[1] ; wb_dat_o[26] ; 8.891  ; 9.109  ; 9.606  ; 9.180  ;
; wb_adr_i[1] ; wb_dat_o[27] ; 8.611  ; 8.826  ; 9.314  ; 8.903  ;
; wb_adr_i[1] ; wb_dat_o[28] ; 8.810  ; 8.999  ; 9.522  ; 9.072  ;
; wb_adr_i[1] ; wb_dat_o[29] ; 9.224  ; 9.204  ; 9.725  ; 9.493  ;
; wb_adr_i[1] ; wb_dat_o[30] ; 9.118  ; 9.261  ; 9.710  ; 9.428  ;
; wb_adr_i[1] ; wb_dat_o[31] ; 8.468  ; 8.796  ; 9.290  ; 8.737  ;
; wb_adr_i[2] ; wb_dat_o[0]  ; 10.150 ; 9.613  ; 10.216 ; 10.328 ;
; wb_adr_i[2] ; wb_dat_o[1]  ; 10.469 ; 10.023 ; 10.540 ; 10.705 ;
; wb_adr_i[2] ; wb_dat_o[2]  ; 10.874 ; 10.506 ; 10.930 ; 11.121 ;
; wb_adr_i[2] ; wb_dat_o[3]  ; 10.741 ; 10.259 ; 10.809 ; 10.942 ;
; wb_adr_i[2] ; wb_dat_o[4]  ; 11.836 ; 11.200 ; 11.755 ; 12.036 ;
; wb_adr_i[2] ; wb_dat_o[5]  ; 11.547 ; 11.134 ; 11.696 ; 11.750 ;
; wb_adr_i[2] ; wb_dat_o[6]  ; 11.936 ; 11.349 ; 11.863 ; 12.177 ;
; wb_adr_i[2] ; wb_dat_o[7]  ; 12.010 ; 11.434 ; 11.943 ; 12.263 ;
; wb_adr_i[2] ; wb_dat_o[8]  ; 10.643 ; 10.241 ; 10.804 ; 10.846 ;
; wb_adr_i[2] ; wb_dat_o[9]  ; 11.991 ; 11.653 ; 12.147 ; 12.260 ;
; wb_adr_i[2] ; wb_dat_o[10] ; 11.851 ; 11.377 ; 11.911 ; 12.073 ;
; wb_adr_i[2] ; wb_dat_o[11] ; 11.135 ; 10.904 ; 11.417 ; 11.381 ;
; wb_adr_i[2] ; wb_dat_o[12] ; 9.811  ; 9.330  ; 9.878  ; 10.025 ;
; wb_adr_i[2] ; wb_dat_o[13] ; 9.836  ; 9.357  ; 9.904  ; 10.051 ;
; wb_adr_i[2] ; wb_dat_o[14] ; 11.259 ; 10.825 ; 11.315 ; 11.524 ;
; wb_adr_i[2] ; wb_dat_o[15] ; 11.113 ; 10.934 ; 11.395 ; 11.410 ;
; wb_adr_i[2] ; wb_dat_o[16] ; 10.638 ; 10.235 ; 10.699 ; 10.931 ;
; wb_adr_i[2] ; wb_dat_o[17] ; 10.985 ; 10.762 ; 11.262 ; 11.242 ;
; wb_adr_i[2] ; wb_dat_o[18] ; 11.728 ; 11.534 ; 12.032 ; 12.001 ;
; wb_adr_i[2] ; wb_dat_o[19] ; 9.441  ; 9.007  ; 9.520  ; 9.698  ;
; wb_adr_i[2] ; wb_dat_o[20] ; 10.166 ; 9.680  ; 10.233 ; 10.375 ;
; wb_adr_i[2] ; wb_dat_o[21] ; 9.849  ; 9.604  ; 10.136 ; 10.079 ;
; wb_adr_i[2] ; wb_dat_o[22] ; 10.192 ; 9.991  ; 10.473 ; 10.496 ;
; wb_adr_i[2] ; wb_dat_o[23] ; 10.051 ; 9.562  ; 10.132 ; 10.251 ;
; wb_adr_i[2] ; wb_dat_o[24] ; 10.003 ; 9.555  ; 10.123 ; 10.217 ;
; wb_adr_i[2] ; wb_dat_o[25] ; 11.639 ; 11.221 ; 11.719 ; 11.911 ;
; wb_adr_i[2] ; wb_dat_o[26] ; 10.709 ; 10.282 ; 10.755 ; 10.973 ;
; wb_adr_i[2] ; wb_dat_o[27] ; 10.417 ; 10.005 ; 10.475 ; 10.690 ;
; wb_adr_i[2] ; wb_dat_o[28] ; 10.625 ; 10.174 ; 10.674 ; 10.863 ;
; wb_adr_i[2] ; wb_dat_o[29] ; 10.828 ; 10.595 ; 11.088 ; 11.068 ;
; wb_adr_i[2] ; wb_dat_o[30] ; 10.813 ; 10.530 ; 10.982 ; 11.125 ;
; wb_adr_i[2] ; wb_dat_o[31] ; 10.393 ; 9.839  ; 10.332 ; 10.660 ;
; wb_adr_i[3] ; wb_dat_o[0]  ; 9.988  ; 9.451  ; 10.071 ; 10.183 ;
; wb_adr_i[3] ; wb_dat_o[1]  ; 10.307 ; 9.861  ; 10.395 ; 10.560 ;
; wb_adr_i[3] ; wb_dat_o[2]  ; 10.712 ; 10.344 ; 10.785 ; 10.976 ;
; wb_adr_i[3] ; wb_dat_o[3]  ; 10.579 ; 10.097 ; 10.664 ; 10.797 ;
; wb_adr_i[3] ; wb_dat_o[4]  ; 11.674 ; 11.038 ; 11.610 ; 11.891 ;
; wb_adr_i[3] ; wb_dat_o[5]  ; 11.385 ; 10.972 ; 11.551 ; 11.605 ;
; wb_adr_i[3] ; wb_dat_o[6]  ; 11.774 ; 11.187 ; 11.718 ; 12.032 ;
; wb_adr_i[3] ; wb_dat_o[7]  ; 11.848 ; 11.272 ; 11.798 ; 12.118 ;
; wb_adr_i[3] ; wb_dat_o[8]  ; 10.481 ; 10.079 ; 10.659 ; 10.701 ;
; wb_adr_i[3] ; wb_dat_o[9]  ; 11.829 ; 11.491 ; 12.002 ; 12.115 ;
; wb_adr_i[3] ; wb_dat_o[10] ; 11.689 ; 11.215 ; 11.766 ; 11.928 ;
; wb_adr_i[3] ; wb_dat_o[11] ; 10.973 ; 10.742 ; 11.272 ; 11.236 ;
; wb_adr_i[3] ; wb_dat_o[12] ; 9.649  ; 9.168  ; 9.733  ; 9.880  ;
; wb_adr_i[3] ; wb_dat_o[13] ; 9.674  ; 9.195  ; 9.759  ; 9.906  ;
; wb_adr_i[3] ; wb_dat_o[14] ; 11.097 ; 10.663 ; 11.170 ; 11.379 ;
; wb_adr_i[3] ; wb_dat_o[15] ; 10.951 ; 10.772 ; 11.250 ; 11.265 ;
; wb_adr_i[3] ; wb_dat_o[16] ; 10.476 ; 10.073 ; 10.554 ; 10.786 ;
; wb_adr_i[3] ; wb_dat_o[17] ; 10.823 ; 10.600 ; 11.117 ; 11.097 ;
; wb_adr_i[3] ; wb_dat_o[18] ; 11.566 ; 11.372 ; 11.887 ; 11.856 ;
; wb_adr_i[3] ; wb_dat_o[19] ; 9.279  ; 8.845  ; 9.375  ; 9.553  ;
; wb_adr_i[3] ; wb_dat_o[20] ; 10.004 ; 9.518  ; 10.088 ; 10.230 ;
; wb_adr_i[3] ; wb_dat_o[21] ; 9.687  ; 9.442  ; 9.991  ; 9.934  ;
; wb_adr_i[3] ; wb_dat_o[22] ; 10.030 ; 9.829  ; 10.328 ; 10.351 ;
; wb_adr_i[3] ; wb_dat_o[23] ; 9.889  ; 9.400  ; 9.987  ; 10.106 ;
; wb_adr_i[3] ; wb_dat_o[24] ; 9.841  ; 9.393  ; 9.978  ; 10.072 ;
; wb_adr_i[3] ; wb_dat_o[25] ; 11.477 ; 11.059 ; 11.574 ; 11.766 ;
; wb_adr_i[3] ; wb_dat_o[26] ; 10.547 ; 10.120 ; 10.610 ; 10.828 ;
; wb_adr_i[3] ; wb_dat_o[27] ; 10.255 ; 9.843  ; 10.330 ; 10.545 ;
; wb_adr_i[3] ; wb_dat_o[28] ; 10.463 ; 10.012 ; 10.529 ; 10.718 ;
; wb_adr_i[3] ; wb_dat_o[29] ; 10.666 ; 10.433 ; 10.943 ; 10.923 ;
; wb_adr_i[3] ; wb_dat_o[30] ; 10.651 ; 10.368 ; 10.837 ; 10.980 ;
; wb_adr_i[3] ; wb_dat_o[31] ; 10.231 ; 9.677  ; 10.187 ; 10.515 ;
; wb_adr_i[4] ; wb_dat_o[0]  ; 10.110 ; 9.573  ; 10.206 ; 10.318 ;
; wb_adr_i[4] ; wb_dat_o[1]  ; 10.429 ; 9.983  ; 10.530 ; 10.695 ;
; wb_adr_i[4] ; wb_dat_o[2]  ; 10.834 ; 10.466 ; 10.920 ; 11.111 ;
; wb_adr_i[4] ; wb_dat_o[3]  ; 10.701 ; 10.219 ; 10.799 ; 10.932 ;
; wb_adr_i[4] ; wb_dat_o[4]  ; 11.796 ; 11.160 ; 11.745 ; 12.026 ;
; wb_adr_i[4] ; wb_dat_o[5]  ; 11.507 ; 11.094 ; 11.686 ; 11.740 ;
; wb_adr_i[4] ; wb_dat_o[6]  ; 11.896 ; 11.309 ; 11.853 ; 12.167 ;
; wb_adr_i[4] ; wb_dat_o[7]  ; 11.970 ; 11.394 ; 11.933 ; 12.253 ;
; wb_adr_i[4] ; wb_dat_o[8]  ; 10.603 ; 10.201 ; 10.794 ; 10.836 ;
; wb_adr_i[4] ; wb_dat_o[9]  ; 11.951 ; 11.613 ; 12.137 ; 12.250 ;
; wb_adr_i[4] ; wb_dat_o[10] ; 11.811 ; 11.337 ; 11.901 ; 12.063 ;
; wb_adr_i[4] ; wb_dat_o[11] ; 11.095 ; 10.864 ; 11.407 ; 11.371 ;
; wb_adr_i[4] ; wb_dat_o[12] ; 9.771  ; 9.290  ; 9.868  ; 10.015 ;
; wb_adr_i[4] ; wb_dat_o[13] ; 9.796  ; 9.317  ; 9.894  ; 10.041 ;
; wb_adr_i[4] ; wb_dat_o[14] ; 11.219 ; 10.785 ; 11.305 ; 11.514 ;
; wb_adr_i[4] ; wb_dat_o[15] ; 11.073 ; 10.894 ; 11.385 ; 11.400 ;
; wb_adr_i[4] ; wb_dat_o[16] ; 10.598 ; 10.195 ; 10.689 ; 10.921 ;
; wb_adr_i[4] ; wb_dat_o[17] ; 10.945 ; 10.722 ; 11.252 ; 11.232 ;
; wb_adr_i[4] ; wb_dat_o[18] ; 11.688 ; 11.494 ; 12.022 ; 11.991 ;
; wb_adr_i[4] ; wb_dat_o[19] ; 9.401  ; 8.967  ; 9.510  ; 9.688  ;
; wb_adr_i[4] ; wb_dat_o[20] ; 10.126 ; 9.640  ; 10.223 ; 10.365 ;
; wb_adr_i[4] ; wb_dat_o[21] ; 9.809  ; 9.564  ; 10.126 ; 10.069 ;
; wb_adr_i[4] ; wb_dat_o[22] ; 10.152 ; 9.951  ; 10.463 ; 10.486 ;
; wb_adr_i[4] ; wb_dat_o[23] ; 10.011 ; 9.522  ; 10.122 ; 10.241 ;
; wb_adr_i[4] ; wb_dat_o[24] ; 9.963  ; 9.515  ; 10.113 ; 10.207 ;
; wb_adr_i[4] ; wb_dat_o[25] ; 11.599 ; 11.181 ; 11.709 ; 11.901 ;
; wb_adr_i[4] ; wb_dat_o[26] ; 10.669 ; 10.242 ; 10.745 ; 10.963 ;
; wb_adr_i[4] ; wb_dat_o[27] ; 10.377 ; 9.965  ; 10.465 ; 10.680 ;
; wb_adr_i[4] ; wb_dat_o[28] ; 10.585 ; 10.134 ; 10.664 ; 10.853 ;
; wb_adr_i[4] ; wb_dat_o[29] ; 10.788 ; 10.555 ; 11.078 ; 11.058 ;
; wb_adr_i[4] ; wb_dat_o[30] ; 10.773 ; 10.490 ; 10.972 ; 11.115 ;
; wb_adr_i[4] ; wb_dat_o[31] ; 10.353 ; 9.799  ; 10.322 ; 10.650 ;
; wb_adr_i[5] ; wb_dat_o[0]  ; 10.400 ; 9.863  ; 10.466 ; 10.578 ;
; wb_adr_i[5] ; wb_dat_o[1]  ; 10.719 ; 10.273 ; 10.790 ; 10.955 ;
; wb_adr_i[5] ; wb_dat_o[2]  ; 11.124 ; 10.756 ; 11.180 ; 11.371 ;
; wb_adr_i[5] ; wb_dat_o[3]  ; 10.991 ; 10.509 ; 11.059 ; 11.192 ;
; wb_adr_i[5] ; wb_dat_o[4]  ; 12.086 ; 11.450 ; 12.005 ; 12.286 ;
; wb_adr_i[5] ; wb_dat_o[5]  ; 11.797 ; 11.384 ; 11.946 ; 12.000 ;
; wb_adr_i[5] ; wb_dat_o[6]  ; 12.186 ; 11.599 ; 12.113 ; 12.427 ;
; wb_adr_i[5] ; wb_dat_o[7]  ; 12.260 ; 11.684 ; 12.193 ; 12.513 ;
; wb_adr_i[5] ; wb_dat_o[8]  ; 10.893 ; 10.491 ; 11.054 ; 11.096 ;
; wb_adr_i[5] ; wb_dat_o[9]  ; 12.241 ; 11.903 ; 12.397 ; 12.510 ;
; wb_adr_i[5] ; wb_dat_o[10] ; 12.101 ; 11.627 ; 12.161 ; 12.323 ;
; wb_adr_i[5] ; wb_dat_o[11] ; 11.385 ; 11.154 ; 11.667 ; 11.631 ;
; wb_adr_i[5] ; wb_dat_o[12] ; 10.061 ; 9.580  ; 10.128 ; 10.275 ;
; wb_adr_i[5] ; wb_dat_o[13] ; 10.086 ; 9.607  ; 10.154 ; 10.301 ;
; wb_adr_i[5] ; wb_dat_o[14] ; 11.509 ; 11.075 ; 11.565 ; 11.774 ;
; wb_adr_i[5] ; wb_dat_o[15] ; 11.363 ; 11.184 ; 11.645 ; 11.660 ;
; wb_adr_i[5] ; wb_dat_o[16] ; 10.888 ; 10.485 ; 10.949 ; 11.181 ;
; wb_adr_i[5] ; wb_dat_o[17] ; 11.235 ; 11.012 ; 11.512 ; 11.492 ;
; wb_adr_i[5] ; wb_dat_o[18] ; 11.978 ; 11.784 ; 12.282 ; 12.251 ;
; wb_adr_i[5] ; wb_dat_o[19] ; 9.691  ; 9.257  ; 9.770  ; 9.948  ;
; wb_adr_i[5] ; wb_dat_o[20] ; 10.416 ; 9.930  ; 10.483 ; 10.625 ;
; wb_adr_i[5] ; wb_dat_o[21] ; 10.099 ; 9.854  ; 10.386 ; 10.329 ;
; wb_adr_i[5] ; wb_dat_o[22] ; 10.442 ; 10.241 ; 10.723 ; 10.746 ;
; wb_adr_i[5] ; wb_dat_o[23] ; 10.301 ; 9.812  ; 10.382 ; 10.501 ;
; wb_adr_i[5] ; wb_dat_o[24] ; 10.253 ; 9.805  ; 10.373 ; 10.467 ;
; wb_adr_i[5] ; wb_dat_o[25] ; 11.889 ; 11.471 ; 11.969 ; 12.161 ;
; wb_adr_i[5] ; wb_dat_o[26] ; 10.959 ; 10.532 ; 11.005 ; 11.223 ;
; wb_adr_i[5] ; wb_dat_o[27] ; 10.667 ; 10.255 ; 10.725 ; 10.940 ;
; wb_adr_i[5] ; wb_dat_o[28] ; 10.875 ; 10.424 ; 10.924 ; 11.113 ;
; wb_adr_i[5] ; wb_dat_o[29] ; 11.078 ; 10.845 ; 11.338 ; 11.318 ;
; wb_adr_i[5] ; wb_dat_o[30] ; 11.063 ; 10.780 ; 11.232 ; 11.375 ;
; wb_adr_i[5] ; wb_dat_o[31] ; 10.643 ; 10.089 ; 10.582 ; 10.910 ;
; wb_cyc_i    ; wb_dat_o[0]  ; 7.215  ; 7.055  ; 7.545  ; 7.385  ;
; wb_cyc_i    ; wb_dat_o[1]  ; 6.976  ; 6.816  ; 7.316  ; 7.156  ;
; wb_cyc_i    ; wb_dat_o[2]  ; 8.894  ; 8.734  ; 9.294  ; 9.134  ;
; wb_cyc_i    ; wb_dat_o[3]  ; 7.402  ; 7.242  ; 7.716  ; 7.556  ;
; wb_cyc_i    ; wb_dat_o[4]  ; 8.831  ; 8.671  ; 9.220  ; 9.060  ;
; wb_cyc_i    ; wb_dat_o[5]  ; 8.740  ; 8.580  ; 9.105  ; 8.945  ;
; wb_cyc_i    ; wb_dat_o[6]  ; 8.831  ; 8.671  ; 9.220  ; 9.060  ;
; wb_cyc_i    ; wb_dat_o[7]  ; 9.241  ; 9.105  ; 9.643  ; 9.507  ;
; wb_cyc_i    ; wb_dat_o[8]  ; 7.611  ; 7.451  ; 7.925  ; 7.765  ;
; wb_cyc_i    ; wb_dat_o[9]  ; 8.846  ; 8.686  ; 9.164  ; 9.004  ;
; wb_cyc_i    ; wb_dat_o[10] ; 8.753  ; 8.617  ; 9.164  ; 9.028  ;
; wb_cyc_i    ; wb_dat_o[11] ; 8.685  ; 8.525  ; 9.011  ; 8.851  ;
; wb_cyc_i    ; wb_dat_o[12] ; 7.616  ; 7.456  ; 7.930  ; 7.770  ;
; wb_cyc_i    ; wb_dat_o[13] ; 7.611  ; 7.451  ; 7.925  ; 7.765  ;
; wb_cyc_i    ; wb_dat_o[14] ; 8.846  ; 8.686  ; 9.164  ; 9.004  ;
; wb_cyc_i    ; wb_dat_o[15] ; 8.894  ; 8.734  ; 9.294  ; 9.134  ;
; wb_cyc_i    ; wb_dat_o[16] ; 8.522  ; 8.412  ; 8.853  ; 8.743  ;
; wb_cyc_i    ; wb_dat_o[17] ; 8.894  ; 8.734  ; 9.294  ; 9.134  ;
; wb_cyc_i    ; wb_dat_o[18] ; 8.850  ; 8.690  ; 9.167  ; 9.007  ;
; wb_cyc_i    ; wb_dat_o[19] ; 7.215  ; 7.055  ; 7.545  ; 7.385  ;
; wb_cyc_i    ; wb_dat_o[20] ; 7.820  ; 7.660  ; 8.134  ; 7.974  ;
; wb_cyc_i    ; wb_dat_o[21] ; 7.534  ; 7.424  ; 7.847  ; 7.737  ;
; wb_cyc_i    ; wb_dat_o[22] ; 8.275  ; 8.189  ; 8.588  ; 8.502  ;
; wb_cyc_i    ; wb_dat_o[23] ; 7.616  ; 7.456  ; 7.930  ; 7.770  ;
; wb_cyc_i    ; wb_dat_o[24] ; 7.820  ; 7.660  ; 8.134  ; 7.974  ;
; wb_cyc_i    ; wb_dat_o[25] ; 8.850  ; 8.690  ; 9.167  ; 9.007  ;
; wb_cyc_i    ; wb_dat_o[26] ; 8.998  ; 8.862  ; 9.411  ; 9.275  ;
; wb_cyc_i    ; wb_dat_o[27] ; 8.894  ; 8.734  ; 9.294  ; 9.134  ;
; wb_cyc_i    ; wb_dat_o[28] ; 9.241  ; 9.105  ; 9.643  ; 9.507  ;
; wb_cyc_i    ; wb_dat_o[29] ; 8.998  ; 8.862  ; 9.411  ; 9.275  ;
; wb_cyc_i    ; wb_dat_o[30] ; 8.999  ; 8.863  ; 9.402  ; 9.266  ;
; wb_cyc_i    ; wb_dat_o[31] ; 7.856  ; 7.696  ; 8.170  ; 8.010  ;
; wb_stb_i    ; wb_dat_o[0]  ; 7.446  ; 7.286  ; 7.796  ; 7.636  ;
; wb_stb_i    ; wb_dat_o[1]  ; 7.207  ; 7.047  ; 7.567  ; 7.407  ;
; wb_stb_i    ; wb_dat_o[2]  ; 9.125  ; 8.965  ; 9.545  ; 9.385  ;
; wb_stb_i    ; wb_dat_o[3]  ; 7.633  ; 7.473  ; 7.967  ; 7.807  ;
; wb_stb_i    ; wb_dat_o[4]  ; 9.062  ; 8.902  ; 9.471  ; 9.311  ;
; wb_stb_i    ; wb_dat_o[5]  ; 8.971  ; 8.811  ; 9.356  ; 9.196  ;
; wb_stb_i    ; wb_dat_o[6]  ; 9.062  ; 8.902  ; 9.471  ; 9.311  ;
; wb_stb_i    ; wb_dat_o[7]  ; 9.472  ; 9.336  ; 9.894  ; 9.758  ;
; wb_stb_i    ; wb_dat_o[8]  ; 7.842  ; 7.682  ; 8.176  ; 8.016  ;
; wb_stb_i    ; wb_dat_o[9]  ; 9.077  ; 8.917  ; 9.415  ; 9.255  ;
; wb_stb_i    ; wb_dat_o[10] ; 8.984  ; 8.848  ; 9.415  ; 9.279  ;
; wb_stb_i    ; wb_dat_o[11] ; 8.916  ; 8.756  ; 9.262  ; 9.102  ;
; wb_stb_i    ; wb_dat_o[12] ; 7.847  ; 7.687  ; 8.181  ; 8.021  ;
; wb_stb_i    ; wb_dat_o[13] ; 7.842  ; 7.682  ; 8.176  ; 8.016  ;
; wb_stb_i    ; wb_dat_o[14] ; 9.077  ; 8.917  ; 9.415  ; 9.255  ;
; wb_stb_i    ; wb_dat_o[15] ; 9.125  ; 8.965  ; 9.545  ; 9.385  ;
; wb_stb_i    ; wb_dat_o[16] ; 8.753  ; 8.643  ; 9.104  ; 8.994  ;
; wb_stb_i    ; wb_dat_o[17] ; 9.125  ; 8.965  ; 9.545  ; 9.385  ;
; wb_stb_i    ; wb_dat_o[18] ; 9.081  ; 8.921  ; 9.418  ; 9.258  ;
; wb_stb_i    ; wb_dat_o[19] ; 7.446  ; 7.286  ; 7.796  ; 7.636  ;
; wb_stb_i    ; wb_dat_o[20] ; 8.051  ; 7.891  ; 8.385  ; 8.225  ;
; wb_stb_i    ; wb_dat_o[21] ; 7.765  ; 7.655  ; 8.098  ; 7.988  ;
; wb_stb_i    ; wb_dat_o[22] ; 8.506  ; 8.420  ; 8.839  ; 8.753  ;
; wb_stb_i    ; wb_dat_o[23] ; 7.847  ; 7.687  ; 8.181  ; 8.021  ;
; wb_stb_i    ; wb_dat_o[24] ; 8.051  ; 7.891  ; 8.385  ; 8.225  ;
; wb_stb_i    ; wb_dat_o[25] ; 9.081  ; 8.921  ; 9.418  ; 9.258  ;
; wb_stb_i    ; wb_dat_o[26] ; 9.229  ; 9.093  ; 9.662  ; 9.526  ;
; wb_stb_i    ; wb_dat_o[27] ; 9.125  ; 8.965  ; 9.545  ; 9.385  ;
; wb_stb_i    ; wb_dat_o[28] ; 9.472  ; 9.336  ; 9.894  ; 9.758  ;
; wb_stb_i    ; wb_dat_o[29] ; 9.229  ; 9.093  ; 9.662  ; 9.526  ;
; wb_stb_i    ; wb_dat_o[30] ; 9.230  ; 9.094  ; 9.653  ; 9.517  ;
; wb_stb_i    ; wb_dat_o[31] ; 8.087  ; 7.927  ; 8.421  ; 8.261  ;
; wb_we_i     ; wb_dat_o[0]  ; 6.993  ; 6.833  ; 7.388  ; 7.228  ;
; wb_we_i     ; wb_dat_o[1]  ; 6.764  ; 6.604  ; 7.149  ; 6.989  ;
; wb_we_i     ; wb_dat_o[2]  ; 8.742  ; 8.582  ; 9.067  ; 8.907  ;
; wb_we_i     ; wb_dat_o[3]  ; 7.164  ; 7.004  ; 7.575  ; 7.415  ;
; wb_we_i     ; wb_dat_o[4]  ; 8.668  ; 8.508  ; 9.004  ; 8.844  ;
; wb_we_i     ; wb_dat_o[5]  ; 8.553  ; 8.393  ; 8.913  ; 8.753  ;
; wb_we_i     ; wb_dat_o[6]  ; 8.668  ; 8.508  ; 9.004  ; 8.844  ;
; wb_we_i     ; wb_dat_o[7]  ; 9.091  ; 8.955  ; 9.414  ; 9.278  ;
; wb_we_i     ; wb_dat_o[8]  ; 7.373  ; 7.213  ; 7.784  ; 7.624  ;
; wb_we_i     ; wb_dat_o[9]  ; 8.612  ; 8.452  ; 9.019  ; 8.859  ;
; wb_we_i     ; wb_dat_o[10] ; 8.612  ; 8.476  ; 8.926  ; 8.790  ;
; wb_we_i     ; wb_dat_o[11] ; 8.459  ; 8.299  ; 8.858  ; 8.698  ;
; wb_we_i     ; wb_dat_o[12] ; 7.378  ; 7.218  ; 7.789  ; 7.629  ;
; wb_we_i     ; wb_dat_o[13] ; 7.373  ; 7.213  ; 7.784  ; 7.624  ;
; wb_we_i     ; wb_dat_o[14] ; 8.612  ; 8.452  ; 9.019  ; 8.859  ;
; wb_we_i     ; wb_dat_o[15] ; 8.742  ; 8.582  ; 9.067  ; 8.907  ;
; wb_we_i     ; wb_dat_o[16] ; 8.301  ; 8.191  ; 8.695  ; 8.585  ;
; wb_we_i     ; wb_dat_o[17] ; 8.742  ; 8.582  ; 9.067  ; 8.907  ;
; wb_we_i     ; wb_dat_o[18] ; 8.615  ; 8.455  ; 9.023  ; 8.863  ;
; wb_we_i     ; wb_dat_o[19] ; 6.993  ; 6.833  ; 7.388  ; 7.228  ;
; wb_we_i     ; wb_dat_o[20] ; 7.582  ; 7.422  ; 7.993  ; 7.833  ;
; wb_we_i     ; wb_dat_o[21] ; 7.295  ; 7.185  ; 7.707  ; 7.597  ;
; wb_we_i     ; wb_dat_o[22] ; 8.036  ; 7.950  ; 8.448  ; 8.362  ;
; wb_we_i     ; wb_dat_o[23] ; 7.378  ; 7.218  ; 7.789  ; 7.629  ;
; wb_we_i     ; wb_dat_o[24] ; 7.582  ; 7.422  ; 7.993  ; 7.833  ;
; wb_we_i     ; wb_dat_o[25] ; 8.615  ; 8.455  ; 9.023  ; 8.863  ;
; wb_we_i     ; wb_dat_o[26] ; 8.859  ; 8.723  ; 9.171  ; 9.035  ;
; wb_we_i     ; wb_dat_o[27] ; 8.742  ; 8.582  ; 9.067  ; 8.907  ;
; wb_we_i     ; wb_dat_o[28] ; 9.091  ; 8.955  ; 9.414  ; 9.278  ;
; wb_we_i     ; wb_dat_o[29] ; 8.859  ; 8.723  ; 9.171  ; 9.035  ;
; wb_we_i     ; wb_dat_o[30] ; 8.850  ; 8.714  ; 9.172  ; 9.036  ;
; wb_we_i     ; wb_dat_o[31] ; 7.618  ; 7.458  ; 8.029  ; 7.869  ;
+-------------+--------------+--------+--------+--------+--------+


+----------------------------------------------------------------+
; Minimum Propagation Delay                                      ;
+-------------+--------------+--------+--------+--------+--------+
; Input Port  ; Output Port  ; RR     ; RF     ; FR     ; FF     ;
+-------------+--------------+--------+--------+--------+--------+
; wb_adr_i[0] ; wb_dat_o[0]  ; 7.671  ; 7.775  ; 8.336  ; 7.849  ;
; wb_adr_i[0] ; wb_dat_o[1]  ; 7.441  ; 8.094  ; 8.603  ; 7.621  ;
; wb_adr_i[0] ; wb_dat_o[2]  ; 8.663  ; 8.562  ; 9.021  ; 8.905  ;
; wb_adr_i[0] ; wb_dat_o[3]  ; 8.058  ; 8.319  ; 8.861  ; 8.219  ;
; wb_adr_i[0] ; wb_dat_o[4]  ; 8.564  ; 9.299  ; 9.817  ; 8.732  ;
; wb_adr_i[0] ; wb_dat_o[5]  ; 7.842  ; 9.158  ; 9.713  ; 7.992  ;
; wb_adr_i[0] ; wb_dat_o[6]  ; 8.379  ; 9.366  ; 9.875  ; 8.552  ;
; wb_adr_i[0] ; wb_dat_o[7]  ; 9.116  ; 9.449  ; 9.937  ; 9.297  ;
; wb_adr_i[0] ; wb_dat_o[8]  ; 8.338  ; 8.376  ; 8.899  ; 8.510  ;
; wb_adr_i[0] ; wb_dat_o[9]  ; 9.891  ; 9.731  ; 10.190 ; 10.108 ;
; wb_adr_i[0] ; wb_dat_o[10] ; 9.624  ; 9.469  ; 9.964  ; 9.815  ;
; wb_adr_i[0] ; wb_dat_o[11] ; 9.179  ; 9.012  ; 9.490  ; 9.409  ;
; wb_adr_i[0] ; wb_dat_o[12] ; 7.674  ; 7.503  ; 8.011  ; 7.846  ;
; wb_adr_i[0] ; wb_dat_o[13] ; 7.697  ; 7.528  ; 8.037  ; 7.874  ;
; wb_adr_i[0] ; wb_dat_o[14] ; 9.051  ; 8.937  ; 9.391  ; 9.283  ;
; wb_adr_i[0] ; wb_dat_o[15] ; 9.158  ; 9.041  ; 9.469  ; 9.438  ;
; wb_adr_i[0] ; wb_dat_o[16] ; 8.455  ; 8.368  ; 8.795  ; 8.714  ;
; wb_adr_i[0] ; wb_dat_o[17] ; 9.031  ; 8.876  ; 9.341  ; 9.272  ;
; wb_adr_i[0] ; wb_dat_o[18] ; 9.705  ; 9.618  ; 10.066 ; 9.947  ;
; wb_adr_i[0] ; wb_dat_o[19] ; 7.332  ; 7.193  ; 7.669  ; 7.536  ;
; wb_adr_i[0] ; wb_dat_o[20] ; 8.015  ; 7.839  ; 8.352  ; 8.182  ;
; wb_adr_i[0] ; wb_dat_o[21] ; 7.947  ; 7.761  ; 8.255  ; 8.155  ;
; wb_adr_i[0] ; wb_dat_o[22] ; 8.258  ; 8.163  ; 8.608  ; 8.519  ;
; wb_adr_i[0] ; wb_dat_o[23] ; 7.919  ; 7.725  ; 8.257  ; 8.069  ;
; wb_adr_i[0] ; wb_dat_o[24] ; 7.897  ; 7.718  ; 8.247  ; 8.074  ;
; wb_adr_i[0] ; wb_dat_o[25] ; 9.443  ; 9.318  ; 9.780  ; 9.661  ;
; wb_adr_i[0] ; wb_dat_o[26] ; 8.518  ; 8.418  ; 8.855  ; 8.761  ;
; wb_adr_i[0] ; wb_dat_o[27] ; 8.247  ; 8.149  ; 8.585  ; 8.493  ;
; wb_adr_i[0] ; wb_dat_o[28] ; 8.440  ; 8.314  ; 8.778  ; 8.658  ;
; wb_adr_i[0] ; wb_dat_o[29] ; 8.872  ; 8.718  ; 9.175  ; 9.107  ;
; wb_adr_i[0] ; wb_dat_o[30] ; 8.709  ; 8.655  ; 9.074  ; 8.974  ;
; wb_adr_i[0] ; wb_dat_o[31] ; 8.119  ; 7.991  ; 8.448  ; 8.326  ;
; wb_adr_i[1] ; wb_dat_o[0]  ; 7.739  ; 7.853  ; 8.433  ; 7.984  ;
; wb_adr_i[1] ; wb_dat_o[1]  ; 7.509  ; 8.163  ; 8.709  ; 7.756  ;
; wb_adr_i[1] ; wb_dat_o[2]  ; 8.731  ; 8.631  ; 9.127  ; 9.005  ;
; wb_adr_i[1] ; wb_dat_o[3]  ; 8.126  ; 8.388  ; 8.967  ; 8.354  ;
; wb_adr_i[1] ; wb_dat_o[4]  ; 8.632  ; 9.361  ; 9.930  ; 8.867  ;
; wb_adr_i[1] ; wb_dat_o[5]  ; 7.910  ; 9.262  ; 9.856  ; 8.127  ;
; wb_adr_i[1] ; wb_dat_o[6]  ; 8.447  ; 9.426  ; 9.990  ; 8.687  ;
; wb_adr_i[1] ; wb_dat_o[7]  ; 9.184  ; 9.511  ; 10.050 ; 9.432  ;
; wb_adr_i[1] ; wb_dat_o[8]  ; 8.406  ; 8.422  ; 8.976  ; 8.645  ;
; wb_adr_i[1] ; wb_dat_o[9]  ; 9.916  ; 9.834  ; 10.334 ; 10.174 ;
; wb_adr_i[1] ; wb_dat_o[10] ; 9.690  ; 9.541  ; 10.067 ; 9.912  ;
; wb_adr_i[1] ; wb_dat_o[11] ; 9.216  ; 9.135  ; 9.622  ; 9.455  ;
; wb_adr_i[1] ; wb_dat_o[12] ; 7.737  ; 7.572  ; 8.117  ; 7.946  ;
; wb_adr_i[1] ; wb_dat_o[13] ; 7.763  ; 7.600  ; 8.140  ; 7.971  ;
; wb_adr_i[1] ; wb_dat_o[14] ; 9.117  ; 9.009  ; 9.494  ; 9.380  ;
; wb_adr_i[1] ; wb_dat_o[15] ; 9.195  ; 9.164  ; 9.601  ; 9.484  ;
; wb_adr_i[1] ; wb_dat_o[16] ; 8.521  ; 8.440  ; 8.898  ; 8.811  ;
; wb_adr_i[1] ; wb_dat_o[17] ; 9.067  ; 8.998  ; 9.474  ; 9.319  ;
; wb_adr_i[1] ; wb_dat_o[18] ; 9.806  ; 9.673  ; 10.148 ; 10.061 ;
; wb_adr_i[1] ; wb_dat_o[19] ; 7.395  ; 7.262  ; 7.775  ; 7.636  ;
; wb_adr_i[1] ; wb_dat_o[20] ; 8.078  ; 7.908  ; 8.458  ; 8.282  ;
; wb_adr_i[1] ; wb_dat_o[21] ; 7.981  ; 7.881  ; 8.390  ; 8.204  ;
; wb_adr_i[1] ; wb_dat_o[22] ; 8.334  ; 8.245  ; 8.701  ; 8.606  ;
; wb_adr_i[1] ; wb_dat_o[23] ; 7.983  ; 7.795  ; 8.362  ; 8.168  ;
; wb_adr_i[1] ; wb_dat_o[24] ; 7.973  ; 7.800  ; 8.340  ; 8.161  ;
; wb_adr_i[1] ; wb_dat_o[25] ; 9.506  ; 9.387  ; 9.886  ; 9.761  ;
; wb_adr_i[1] ; wb_dat_o[26] ; 8.581  ; 8.487  ; 8.961  ; 8.861  ;
; wb_adr_i[1] ; wb_dat_o[27] ; 8.311  ; 8.219  ; 8.690  ; 8.592  ;
; wb_adr_i[1] ; wb_dat_o[28] ; 8.504  ; 8.384  ; 8.883  ; 8.757  ;
; wb_adr_i[1] ; wb_dat_o[29] ; 8.901  ; 8.833  ; 9.315  ; 9.161  ;
; wb_adr_i[1] ; wb_dat_o[30] ; 8.800  ; 8.700  ; 9.152  ; 9.098  ;
; wb_adr_i[1] ; wb_dat_o[31] ; 8.174  ; 8.052  ; 8.562  ; 8.434  ;
; wb_adr_i[2] ; wb_dat_o[0]  ; 9.488  ; 9.039  ; 9.535  ; 9.648  ;
; wb_adr_i[2] ; wb_dat_o[1]  ; 9.764  ; 8.811  ; 9.305  ; 9.958  ;
; wb_adr_i[2] ; wb_dat_o[2]  ; 10.182 ; 10.060 ; 10.527 ; 10.426 ;
; wb_adr_i[2] ; wb_dat_o[3]  ; 10.022 ; 9.409  ; 9.922  ; 10.183 ;
; wb_adr_i[2] ; wb_dat_o[4]  ; 10.985 ; 9.922  ; 10.428 ; 11.156 ;
; wb_adr_i[2] ; wb_dat_o[5]  ; 10.911 ; 9.182  ; 9.706  ; 11.057 ;
; wb_adr_i[2] ; wb_dat_o[6]  ; 11.045 ; 9.742  ; 10.243 ; 11.221 ;
; wb_adr_i[2] ; wb_dat_o[7]  ; 11.105 ; 10.487 ; 10.980 ; 11.306 ;
; wb_adr_i[2] ; wb_dat_o[8]  ; 10.031 ; 9.700  ; 10.202 ; 10.217 ;
; wb_adr_i[2] ; wb_dat_o[9]  ; 11.389 ; 11.229 ; 11.711 ; 11.629 ;
; wb_adr_i[2] ; wb_dat_o[10] ; 11.122 ; 10.967 ; 11.485 ; 11.336 ;
; wb_adr_i[2] ; wb_dat_o[11] ; 10.677 ; 10.510 ; 11.011 ; 10.930 ;
; wb_adr_i[2] ; wb_dat_o[12] ; 9.172  ; 9.001  ; 9.532  ; 9.367  ;
; wb_adr_i[2] ; wb_dat_o[13] ; 9.195  ; 9.026  ; 9.558  ; 9.395  ;
; wb_adr_i[2] ; wb_dat_o[14] ; 10.549 ; 10.435 ; 10.912 ; 10.804 ;
; wb_adr_i[2] ; wb_dat_o[15] ; 10.656 ; 10.539 ; 10.990 ; 10.959 ;
; wb_adr_i[2] ; wb_dat_o[16] ; 9.953  ; 9.866  ; 10.316 ; 10.235 ;
; wb_adr_i[2] ; wb_dat_o[17] ; 10.529 ; 10.374 ; 10.862 ; 10.793 ;
; wb_adr_i[2] ; wb_dat_o[18] ; 11.203 ; 11.116 ; 11.601 ; 11.468 ;
; wb_adr_i[2] ; wb_dat_o[19] ; 8.830  ; 8.691  ; 9.190  ; 9.057  ;
; wb_adr_i[2] ; wb_dat_o[20] ; 9.513  ; 9.337  ; 9.873  ; 9.703  ;
; wb_adr_i[2] ; wb_dat_o[21] ; 9.445  ; 9.259  ; 9.776  ; 9.676  ;
; wb_adr_i[2] ; wb_dat_o[22] ; 9.756  ; 9.661  ; 10.129 ; 10.040 ;
; wb_adr_i[2] ; wb_dat_o[23] ; 9.417  ; 9.223  ; 9.778  ; 9.590  ;
; wb_adr_i[2] ; wb_dat_o[24] ; 9.395  ; 9.216  ; 9.768  ; 9.595  ;
; wb_adr_i[2] ; wb_dat_o[25] ; 10.941 ; 10.816 ; 11.301 ; 11.182 ;
; wb_adr_i[2] ; wb_dat_o[26] ; 10.016 ; 9.916  ; 10.376 ; 10.282 ;
; wb_adr_i[2] ; wb_dat_o[27] ; 9.745  ; 9.647  ; 10.106 ; 10.014 ;
; wb_adr_i[2] ; wb_dat_o[28] ; 9.938  ; 9.812  ; 10.299 ; 10.179 ;
; wb_adr_i[2] ; wb_dat_o[29] ; 10.370 ; 10.216 ; 10.696 ; 10.628 ;
; wb_adr_i[2] ; wb_dat_o[30] ; 10.207 ; 10.153 ; 10.595 ; 10.495 ;
; wb_adr_i[2] ; wb_dat_o[31] ; 9.617  ; 9.489  ; 9.969  ; 9.847  ;
; wb_adr_i[3] ; wb_dat_o[0]  ; 9.331  ; 8.882  ; 9.396  ; 9.509  ;
; wb_adr_i[3] ; wb_dat_o[1]  ; 9.607  ; 8.654  ; 9.166  ; 9.819  ;
; wb_adr_i[3] ; wb_dat_o[2]  ; 10.025 ; 9.903  ; 10.388 ; 10.287 ;
; wb_adr_i[3] ; wb_dat_o[3]  ; 9.865  ; 9.252  ; 9.783  ; 10.044 ;
; wb_adr_i[3] ; wb_dat_o[4]  ; 10.828 ; 9.765  ; 10.289 ; 11.017 ;
; wb_adr_i[3] ; wb_dat_o[5]  ; 10.754 ; 9.025  ; 9.567  ; 10.918 ;
; wb_adr_i[3] ; wb_dat_o[6]  ; 10.888 ; 9.585  ; 10.104 ; 11.082 ;
; wb_adr_i[3] ; wb_dat_o[7]  ; 10.948 ; 10.330 ; 10.841 ; 11.167 ;
; wb_adr_i[3] ; wb_dat_o[8]  ; 9.874  ; 9.543  ; 10.063 ; 10.078 ;
; wb_adr_i[3] ; wb_dat_o[9]  ; 11.232 ; 11.072 ; 11.572 ; 11.490 ;
; wb_adr_i[3] ; wb_dat_o[10] ; 10.965 ; 10.810 ; 11.346 ; 11.197 ;
; wb_adr_i[3] ; wb_dat_o[11] ; 10.520 ; 10.353 ; 10.872 ; 10.791 ;
; wb_adr_i[3] ; wb_dat_o[12] ; 9.015  ; 8.844  ; 9.393  ; 9.228  ;
; wb_adr_i[3] ; wb_dat_o[13] ; 9.038  ; 8.869  ; 9.419  ; 9.256  ;
; wb_adr_i[3] ; wb_dat_o[14] ; 10.392 ; 10.278 ; 10.773 ; 10.665 ;
; wb_adr_i[3] ; wb_dat_o[15] ; 10.499 ; 10.382 ; 10.851 ; 10.820 ;
; wb_adr_i[3] ; wb_dat_o[16] ; 9.796  ; 9.709  ; 10.177 ; 10.096 ;
; wb_adr_i[3] ; wb_dat_o[17] ; 10.372 ; 10.217 ; 10.723 ; 10.654 ;
; wb_adr_i[3] ; wb_dat_o[18] ; 11.046 ; 10.959 ; 11.462 ; 11.329 ;
; wb_adr_i[3] ; wb_dat_o[19] ; 8.673  ; 8.534  ; 9.051  ; 8.918  ;
; wb_adr_i[3] ; wb_dat_o[20] ; 9.356  ; 9.180  ; 9.734  ; 9.564  ;
; wb_adr_i[3] ; wb_dat_o[21] ; 9.288  ; 9.102  ; 9.637  ; 9.537  ;
; wb_adr_i[3] ; wb_dat_o[22] ; 9.599  ; 9.504  ; 9.990  ; 9.901  ;
; wb_adr_i[3] ; wb_dat_o[23] ; 9.260  ; 9.066  ; 9.639  ; 9.451  ;
; wb_adr_i[3] ; wb_dat_o[24] ; 9.238  ; 9.059  ; 9.629  ; 9.456  ;
; wb_adr_i[3] ; wb_dat_o[25] ; 10.784 ; 10.659 ; 11.162 ; 11.043 ;
; wb_adr_i[3] ; wb_dat_o[26] ; 9.859  ; 9.759  ; 10.237 ; 10.143 ;
; wb_adr_i[3] ; wb_dat_o[27] ; 9.588  ; 9.490  ; 9.967  ; 9.875  ;
; wb_adr_i[3] ; wb_dat_o[28] ; 9.781  ; 9.655  ; 10.160 ; 10.040 ;
; wb_adr_i[3] ; wb_dat_o[29] ; 10.213 ; 10.059 ; 10.557 ; 10.489 ;
; wb_adr_i[3] ; wb_dat_o[30] ; 10.050 ; 9.996  ; 10.456 ; 10.356 ;
; wb_adr_i[3] ; wb_dat_o[31] ; 9.460  ; 9.332  ; 9.830  ; 9.708  ;
; wb_adr_i[4] ; wb_dat_o[0]  ; 9.448  ; 8.999  ; 9.525  ; 9.638  ;
; wb_adr_i[4] ; wb_dat_o[1]  ; 9.724  ; 8.771  ; 9.295  ; 9.948  ;
; wb_adr_i[4] ; wb_dat_o[2]  ; 10.142 ; 10.020 ; 10.517 ; 10.416 ;
; wb_adr_i[4] ; wb_dat_o[3]  ; 9.982  ; 9.369  ; 9.912  ; 10.173 ;
; wb_adr_i[4] ; wb_dat_o[4]  ; 10.945 ; 9.882  ; 10.418 ; 11.146 ;
; wb_adr_i[4] ; wb_dat_o[5]  ; 10.871 ; 9.142  ; 9.696  ; 11.047 ;
; wb_adr_i[4] ; wb_dat_o[6]  ; 11.005 ; 9.702  ; 10.233 ; 11.211 ;
; wb_adr_i[4] ; wb_dat_o[7]  ; 11.065 ; 10.447 ; 10.970 ; 11.296 ;
; wb_adr_i[4] ; wb_dat_o[8]  ; 9.991  ; 9.660  ; 10.192 ; 10.207 ;
; wb_adr_i[4] ; wb_dat_o[9]  ; 11.349 ; 11.189 ; 11.701 ; 11.619 ;
; wb_adr_i[4] ; wb_dat_o[10] ; 11.082 ; 10.927 ; 11.475 ; 11.326 ;
; wb_adr_i[4] ; wb_dat_o[11] ; 10.637 ; 10.470 ; 11.001 ; 10.920 ;
; wb_adr_i[4] ; wb_dat_o[12] ; 9.132  ; 8.961  ; 9.522  ; 9.357  ;
; wb_adr_i[4] ; wb_dat_o[13] ; 9.155  ; 8.986  ; 9.548  ; 9.385  ;
; wb_adr_i[4] ; wb_dat_o[14] ; 10.509 ; 10.395 ; 10.902 ; 10.794 ;
; wb_adr_i[4] ; wb_dat_o[15] ; 10.616 ; 10.499 ; 10.980 ; 10.949 ;
; wb_adr_i[4] ; wb_dat_o[16] ; 9.913  ; 9.826  ; 10.306 ; 10.225 ;
; wb_adr_i[4] ; wb_dat_o[17] ; 10.489 ; 10.334 ; 10.852 ; 10.783 ;
; wb_adr_i[4] ; wb_dat_o[18] ; 11.163 ; 11.076 ; 11.591 ; 11.458 ;
; wb_adr_i[4] ; wb_dat_o[19] ; 8.790  ; 8.651  ; 9.180  ; 9.047  ;
; wb_adr_i[4] ; wb_dat_o[20] ; 9.473  ; 9.297  ; 9.863  ; 9.693  ;
; wb_adr_i[4] ; wb_dat_o[21] ; 9.405  ; 9.219  ; 9.766  ; 9.666  ;
; wb_adr_i[4] ; wb_dat_o[22] ; 9.716  ; 9.621  ; 10.119 ; 10.030 ;
; wb_adr_i[4] ; wb_dat_o[23] ; 9.377  ; 9.183  ; 9.768  ; 9.580  ;
; wb_adr_i[4] ; wb_dat_o[24] ; 9.355  ; 9.176  ; 9.758  ; 9.585  ;
; wb_adr_i[4] ; wb_dat_o[25] ; 10.901 ; 10.776 ; 11.291 ; 11.172 ;
; wb_adr_i[4] ; wb_dat_o[26] ; 9.976  ; 9.876  ; 10.366 ; 10.272 ;
; wb_adr_i[4] ; wb_dat_o[27] ; 9.705  ; 9.607  ; 10.096 ; 10.004 ;
; wb_adr_i[4] ; wb_dat_o[28] ; 9.898  ; 9.772  ; 10.289 ; 10.169 ;
; wb_adr_i[4] ; wb_dat_o[29] ; 10.330 ; 10.176 ; 10.686 ; 10.618 ;
; wb_adr_i[4] ; wb_dat_o[30] ; 10.167 ; 10.113 ; 10.585 ; 10.485 ;
; wb_adr_i[4] ; wb_dat_o[31] ; 9.577  ; 9.449  ; 9.959  ; 9.837  ;
; wb_adr_i[5] ; wb_dat_o[0]  ; 9.728  ; 9.279  ; 9.776  ; 9.889  ;
; wb_adr_i[5] ; wb_dat_o[1]  ; 10.004 ; 9.051  ; 9.546  ; 10.199 ;
; wb_adr_i[5] ; wb_dat_o[2]  ; 10.422 ; 10.300 ; 10.768 ; 10.667 ;
; wb_adr_i[5] ; wb_dat_o[3]  ; 10.262 ; 9.649  ; 10.163 ; 10.424 ;
; wb_adr_i[5] ; wb_dat_o[4]  ; 11.225 ; 10.162 ; 10.669 ; 11.397 ;
; wb_adr_i[5] ; wb_dat_o[5]  ; 11.151 ; 9.422  ; 9.947  ; 11.298 ;
; wb_adr_i[5] ; wb_dat_o[6]  ; 11.285 ; 9.982  ; 10.484 ; 11.462 ;
; wb_adr_i[5] ; wb_dat_o[7]  ; 11.345 ; 10.727 ; 11.221 ; 11.547 ;
; wb_adr_i[5] ; wb_dat_o[8]  ; 10.271 ; 9.940  ; 10.443 ; 10.458 ;
; wb_adr_i[5] ; wb_dat_o[9]  ; 11.629 ; 11.469 ; 11.952 ; 11.870 ;
; wb_adr_i[5] ; wb_dat_o[10] ; 11.362 ; 11.207 ; 11.726 ; 11.577 ;
; wb_adr_i[5] ; wb_dat_o[11] ; 10.917 ; 10.750 ; 11.252 ; 11.171 ;
; wb_adr_i[5] ; wb_dat_o[12] ; 9.412  ; 9.241  ; 9.773  ; 9.608  ;
; wb_adr_i[5] ; wb_dat_o[13] ; 9.435  ; 9.266  ; 9.799  ; 9.636  ;
; wb_adr_i[5] ; wb_dat_o[14] ; 10.789 ; 10.675 ; 11.153 ; 11.045 ;
; wb_adr_i[5] ; wb_dat_o[15] ; 10.896 ; 10.779 ; 11.231 ; 11.200 ;
; wb_adr_i[5] ; wb_dat_o[16] ; 10.193 ; 10.106 ; 10.557 ; 10.476 ;
; wb_adr_i[5] ; wb_dat_o[17] ; 10.769 ; 10.614 ; 11.103 ; 11.034 ;
; wb_adr_i[5] ; wb_dat_o[18] ; 11.443 ; 11.356 ; 11.842 ; 11.709 ;
; wb_adr_i[5] ; wb_dat_o[19] ; 9.070  ; 8.931  ; 9.431  ; 9.298  ;
; wb_adr_i[5] ; wb_dat_o[20] ; 9.753  ; 9.577  ; 10.114 ; 9.944  ;
; wb_adr_i[5] ; wb_dat_o[21] ; 9.685  ; 9.499  ; 10.017 ; 9.917  ;
; wb_adr_i[5] ; wb_dat_o[22] ; 9.996  ; 9.901  ; 10.370 ; 10.281 ;
; wb_adr_i[5] ; wb_dat_o[23] ; 9.657  ; 9.463  ; 10.019 ; 9.831  ;
; wb_adr_i[5] ; wb_dat_o[24] ; 9.635  ; 9.456  ; 10.009 ; 9.836  ;
; wb_adr_i[5] ; wb_dat_o[25] ; 11.181 ; 11.056 ; 11.542 ; 11.423 ;
; wb_adr_i[5] ; wb_dat_o[26] ; 10.256 ; 10.156 ; 10.617 ; 10.523 ;
; wb_adr_i[5] ; wb_dat_o[27] ; 9.985  ; 9.887  ; 10.347 ; 10.255 ;
; wb_adr_i[5] ; wb_dat_o[28] ; 10.178 ; 10.052 ; 10.540 ; 10.420 ;
; wb_adr_i[5] ; wb_dat_o[29] ; 10.610 ; 10.456 ; 10.937 ; 10.869 ;
; wb_adr_i[5] ; wb_dat_o[30] ; 10.447 ; 10.393 ; 10.836 ; 10.736 ;
; wb_adr_i[5] ; wb_dat_o[31] ; 9.857  ; 9.729  ; 10.210 ; 10.088 ;
; wb_cyc_i    ; wb_dat_o[0]  ; 6.920  ; 6.760  ; 7.242  ; 7.082  ;
; wb_cyc_i    ; wb_dat_o[1]  ; 6.690  ; 6.530  ; 7.023  ; 6.863  ;
; wb_cyc_i    ; wb_dat_o[2]  ; 8.531  ; 8.371  ; 8.922  ; 8.762  ;
; wb_cyc_i    ; wb_dat_o[3]  ; 7.099  ; 6.939  ; 7.407  ; 7.247  ;
; wb_cyc_i    ; wb_dat_o[4]  ; 8.471  ; 8.311  ; 8.850  ; 8.690  ;
; wb_cyc_i    ; wb_dat_o[5]  ; 8.384  ; 8.224  ; 8.740  ; 8.580  ;
; wb_cyc_i    ; wb_dat_o[6]  ; 8.471  ; 8.311  ; 8.850  ; 8.690  ;
; wb_cyc_i    ; wb_dat_o[7]  ; 8.900  ; 8.764  ; 9.292  ; 9.156  ;
; wb_cyc_i    ; wb_dat_o[8]  ; 7.300  ; 7.140  ; 7.607  ; 7.447  ;
; wb_cyc_i    ; wb_dat_o[9]  ; 8.486  ; 8.326  ; 8.796  ; 8.636  ;
; wb_cyc_i    ; wb_dat_o[10] ; 8.431  ; 8.295  ; 8.832  ; 8.696  ;
; wb_cyc_i    ; wb_dat_o[11] ; 8.330  ; 8.170  ; 8.650  ; 8.490  ;
; wb_cyc_i    ; wb_dat_o[12] ; 7.304  ; 7.144  ; 7.612  ; 7.452  ;
; wb_cyc_i    ; wb_dat_o[13] ; 7.300  ; 7.140  ; 7.607  ; 7.447  ;
; wb_cyc_i    ; wb_dat_o[14] ; 8.486  ; 8.326  ; 8.796  ; 8.636  ;
; wb_cyc_i    ; wb_dat_o[15] ; 8.531  ; 8.371  ; 8.922  ; 8.762  ;
; wb_cyc_i    ; wb_dat_o[16] ; 8.169  ; 8.059  ; 8.493  ; 8.383  ;
; wb_cyc_i    ; wb_dat_o[17] ; 8.531  ; 8.371  ; 8.922  ; 8.762  ;
; wb_cyc_i    ; wb_dat_o[18] ; 8.489  ; 8.329  ; 8.799  ; 8.639  ;
; wb_cyc_i    ; wb_dat_o[19] ; 6.920  ; 6.760  ; 7.242  ; 7.082  ;
; wb_cyc_i    ; wb_dat_o[20] ; 7.500  ; 7.340  ; 7.808  ; 7.648  ;
; wb_cyc_i    ; wb_dat_o[21] ; 7.221  ; 7.111  ; 7.528  ; 7.418  ;
; wb_cyc_i    ; wb_dat_o[22] ; 7.962  ; 7.876  ; 8.269  ; 8.183  ;
; wb_cyc_i    ; wb_dat_o[23] ; 7.304  ; 7.144  ; 7.612  ; 7.452  ;
; wb_cyc_i    ; wb_dat_o[24] ; 7.500  ; 7.340  ; 7.808  ; 7.648  ;
; wb_cyc_i    ; wb_dat_o[25] ; 8.489  ; 8.329  ; 8.799  ; 8.639  ;
; wb_cyc_i    ; wb_dat_o[26] ; 8.666  ; 8.530  ; 9.069  ; 8.933  ;
; wb_cyc_i    ; wb_dat_o[27] ; 8.531  ; 8.371  ; 8.922  ; 8.762  ;
; wb_cyc_i    ; wb_dat_o[28] ; 8.900  ; 8.764  ; 9.292  ; 9.156  ;
; wb_cyc_i    ; wb_dat_o[29] ; 8.666  ; 8.530  ; 9.069  ; 8.933  ;
; wb_cyc_i    ; wb_dat_o[30] ; 8.667  ; 8.531  ; 9.060  ; 8.924  ;
; wb_cyc_i    ; wb_dat_o[31] ; 7.535  ; 7.375  ; 7.843  ; 7.683  ;
; wb_stb_i    ; wb_dat_o[0]  ; 7.130  ; 6.970  ; 7.464  ; 7.304  ;
; wb_stb_i    ; wb_dat_o[1]  ; 6.900  ; 6.740  ; 7.245  ; 7.085  ;
; wb_stb_i    ; wb_dat_o[2]  ; 8.741  ; 8.581  ; 9.144  ; 8.984  ;
; wb_stb_i    ; wb_dat_o[3]  ; 7.309  ; 7.149  ; 7.629  ; 7.469  ;
; wb_stb_i    ; wb_dat_o[4]  ; 8.681  ; 8.521  ; 9.072  ; 8.912  ;
; wb_stb_i    ; wb_dat_o[5]  ; 8.594  ; 8.434  ; 8.962  ; 8.802  ;
; wb_stb_i    ; wb_dat_o[6]  ; 8.681  ; 8.521  ; 9.072  ; 8.912  ;
; wb_stb_i    ; wb_dat_o[7]  ; 9.110  ; 8.974  ; 9.514  ; 9.378  ;
; wb_stb_i    ; wb_dat_o[8]  ; 7.510  ; 7.350  ; 7.829  ; 7.669  ;
; wb_stb_i    ; wb_dat_o[9]  ; 8.696  ; 8.536  ; 9.018  ; 8.858  ;
; wb_stb_i    ; wb_dat_o[10] ; 8.641  ; 8.505  ; 9.054  ; 8.918  ;
; wb_stb_i    ; wb_dat_o[11] ; 8.540  ; 8.380  ; 8.872  ; 8.712  ;
; wb_stb_i    ; wb_dat_o[12] ; 7.514  ; 7.354  ; 7.834  ; 7.674  ;
; wb_stb_i    ; wb_dat_o[13] ; 7.510  ; 7.350  ; 7.829  ; 7.669  ;
; wb_stb_i    ; wb_dat_o[14] ; 8.696  ; 8.536  ; 9.018  ; 8.858  ;
; wb_stb_i    ; wb_dat_o[15] ; 8.741  ; 8.581  ; 9.144  ; 8.984  ;
; wb_stb_i    ; wb_dat_o[16] ; 8.379  ; 8.269  ; 8.715  ; 8.605  ;
; wb_stb_i    ; wb_dat_o[17] ; 8.741  ; 8.581  ; 9.144  ; 8.984  ;
; wb_stb_i    ; wb_dat_o[18] ; 8.699  ; 8.539  ; 9.021  ; 8.861  ;
; wb_stb_i    ; wb_dat_o[19] ; 7.130  ; 6.970  ; 7.464  ; 7.304  ;
; wb_stb_i    ; wb_dat_o[20] ; 7.710  ; 7.550  ; 8.030  ; 7.870  ;
; wb_stb_i    ; wb_dat_o[21] ; 7.431  ; 7.321  ; 7.750  ; 7.640  ;
; wb_stb_i    ; wb_dat_o[22] ; 8.172  ; 8.086  ; 8.491  ; 8.405  ;
; wb_stb_i    ; wb_dat_o[23] ; 7.514  ; 7.354  ; 7.834  ; 7.674  ;
; wb_stb_i    ; wb_dat_o[24] ; 7.710  ; 7.550  ; 8.030  ; 7.870  ;
; wb_stb_i    ; wb_dat_o[25] ; 8.699  ; 8.539  ; 9.021  ; 8.861  ;
; wb_stb_i    ; wb_dat_o[26] ; 8.876  ; 8.740  ; 9.291  ; 9.155  ;
; wb_stb_i    ; wb_dat_o[27] ; 8.741  ; 8.581  ; 9.144  ; 8.984  ;
; wb_stb_i    ; wb_dat_o[28] ; 9.110  ; 8.974  ; 9.514  ; 9.378  ;
; wb_stb_i    ; wb_dat_o[29] ; 8.876  ; 8.740  ; 9.291  ; 9.155  ;
; wb_stb_i    ; wb_dat_o[30] ; 8.877  ; 8.741  ; 9.282  ; 9.146  ;
; wb_stb_i    ; wb_dat_o[31] ; 7.745  ; 7.585  ; 8.065  ; 7.905  ;
; wb_we_i     ; wb_dat_o[0]  ; 6.706  ; 6.546  ; 7.093  ; 6.933  ;
; wb_we_i     ; wb_dat_o[1]  ; 6.487  ; 6.327  ; 6.863  ; 6.703  ;
; wb_we_i     ; wb_dat_o[2]  ; 8.386  ; 8.226  ; 8.704  ; 8.544  ;
; wb_we_i     ; wb_dat_o[3]  ; 6.871  ; 6.711  ; 7.272  ; 7.112  ;
; wb_we_i     ; wb_dat_o[4]  ; 8.314  ; 8.154  ; 8.644  ; 8.484  ;
; wb_we_i     ; wb_dat_o[5]  ; 8.204  ; 8.044  ; 8.557  ; 8.397  ;
; wb_we_i     ; wb_dat_o[6]  ; 8.314  ; 8.154  ; 8.644  ; 8.484  ;
; wb_we_i     ; wb_dat_o[7]  ; 8.756  ; 8.620  ; 9.073  ; 8.937  ;
; wb_we_i     ; wb_dat_o[8]  ; 7.071  ; 6.911  ; 7.473  ; 7.313  ;
; wb_we_i     ; wb_dat_o[9]  ; 8.260  ; 8.100  ; 8.659  ; 8.499  ;
; wb_we_i     ; wb_dat_o[10] ; 8.296  ; 8.160  ; 8.604  ; 8.468  ;
; wb_we_i     ; wb_dat_o[11] ; 8.114  ; 7.954  ; 8.503  ; 8.343  ;
; wb_we_i     ; wb_dat_o[12] ; 7.076  ; 6.916  ; 7.477  ; 7.317  ;
; wb_we_i     ; wb_dat_o[13] ; 7.071  ; 6.911  ; 7.473  ; 7.313  ;
; wb_we_i     ; wb_dat_o[14] ; 8.260  ; 8.100  ; 8.659  ; 8.499  ;
; wb_we_i     ; wb_dat_o[15] ; 8.386  ; 8.226  ; 8.704  ; 8.544  ;
; wb_we_i     ; wb_dat_o[16] ; 7.957  ; 7.847  ; 8.342  ; 8.232  ;
; wb_we_i     ; wb_dat_o[17] ; 8.386  ; 8.226  ; 8.704  ; 8.544  ;
; wb_we_i     ; wb_dat_o[18] ; 8.263  ; 8.103  ; 8.662  ; 8.502  ;
; wb_we_i     ; wb_dat_o[19] ; 6.706  ; 6.546  ; 7.093  ; 6.933  ;
; wb_we_i     ; wb_dat_o[20] ; 7.272  ; 7.112  ; 7.673  ; 7.513  ;
; wb_we_i     ; wb_dat_o[21] ; 6.992  ; 6.882  ; 7.394  ; 7.284  ;
; wb_we_i     ; wb_dat_o[22] ; 7.733  ; 7.647  ; 8.135  ; 8.049  ;
; wb_we_i     ; wb_dat_o[23] ; 7.076  ; 6.916  ; 7.477  ; 7.317  ;
; wb_we_i     ; wb_dat_o[24] ; 7.272  ; 7.112  ; 7.673  ; 7.513  ;
; wb_we_i     ; wb_dat_o[25] ; 8.263  ; 8.103  ; 8.662  ; 8.502  ;
; wb_we_i     ; wb_dat_o[26] ; 8.533  ; 8.397  ; 8.839  ; 8.703  ;
; wb_we_i     ; wb_dat_o[27] ; 8.386  ; 8.226  ; 8.704  ; 8.544  ;
; wb_we_i     ; wb_dat_o[28] ; 8.756  ; 8.620  ; 9.073  ; 8.937  ;
; wb_we_i     ; wb_dat_o[29] ; 8.533  ; 8.397  ; 8.839  ; 8.703  ;
; wb_we_i     ; wb_dat_o[30] ; 8.524  ; 8.388  ; 8.840  ; 8.704  ;
; wb_we_i     ; wb_dat_o[31] ; 7.307  ; 7.147  ; 7.708  ; 7.548  ;
+-------------+--------------+--------+--------+--------+--------+


---------------------------------------------
; Slow 1200mV 0C Model Metastability Report ;
---------------------------------------------
No synchronizer chains to report.


+------------------------------------+
; Fast 1200mV 0C Model Setup Summary ;
+----------+--------+----------------+
; Clock    ; Slack  ; End Point TNS  ;
+----------+--------+----------------+
; wb_clk_i ; -1.753 ; -113.466       ;
+----------+--------+----------------+


+-----------------------------------+
; Fast 1200mV 0C Model Hold Summary ;
+----------+-------+----------------+
; Clock    ; Slack ; End Point TNS  ;
+----------+-------+----------------+
; wb_clk_i ; 0.185 ; 0.000          ;
+----------+-------+----------------+


+---------------------------------------+
; Fast 1200mV 0C Model Recovery Summary ;
+----------+--------+-------------------+
; Clock    ; Slack  ; End Point TNS     ;
+----------+--------+-------------------+
; wb_clk_i ; -1.085 ; -103.677          ;
+----------+--------+-------------------+


+--------------------------------------+
; Fast 1200mV 0C Model Removal Summary ;
+----------+-------+-------------------+
; Clock    ; Slack ; End Point TNS     ;
+----------+-------+-------------------+
; wb_clk_i ; 1.353 ; 0.000             ;
+----------+-------+-------------------+


+--------------------------------------------------+
; Fast 1200mV 0C Model Minimum Pulse Width Summary ;
+----------+--------+------------------------------+
; Clock    ; Slack  ; End Point TNS                ;
+----------+--------+------------------------------+
; wb_clk_i ; -3.000 ; -326.495                     ;
+----------+--------+------------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------+
; Fast 1200mV 0C Model Setup: 'wb_clk_i'                                                                                                   ;
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                     ; To Node   ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+
; -1.753 ; p2pCnt[1]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.847      ;
; -1.744 ; p2pCnt[1]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.838      ;
; -1.682 ; pulseCnt[30]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.554      ;
; -1.680 ; pulseCnt[30]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.552      ;
; -1.680 ; pulseCnt[30]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.552      ;
; -1.679 ; pulseCnt[31]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.551      ;
; -1.677 ; pulseCnt[31]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.549      ;
; -1.677 ; pulseCnt[31]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.549      ;
; -1.646 ; p2pCnt[2]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.740      ;
; -1.637 ; p2pCnt[2]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.731      ;
; -1.636 ; pulseCnt[30]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.508      ;
; -1.633 ; pulseCnt[31]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.505      ;
; -1.629 ; bitCountReg[6]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.704      ;
; -1.620 ; bitCountReg[6]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.695      ;
; -1.618 ; p2pCnt[0]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.712      ;
; -1.609 ; p2pCnt[0]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.703      ;
; -1.600 ; wb_interface_wieg:wb_interface|p2p[20]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.694      ;
; -1.600 ; pulseCnt[0]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.695      ;
; -1.599 ; wb_interface_wieg:wb_interface|p2p[18]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.693      ;
; -1.598 ; pulseCnt[0]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.693      ;
; -1.598 ; pulseCnt[0]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.693      ;
; -1.591 ; wb_interface_wieg:wb_interface|p2p[20]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.685      ;
; -1.590 ; wb_interface_wieg:wb_interface|p2p[18]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.684      ;
; -1.586 ; pulseCnt[3]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.681      ;
; -1.584 ; pulseCnt[3]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.679      ;
; -1.584 ; pulseCnt[3]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.679      ;
; -1.575 ; bitCount[4]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.650      ;
; -1.574 ; bitCount[4]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.649      ;
; -1.571 ; bitCountReg[5]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.646      ;
; -1.569 ; bitCount[1]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.644      ;
; -1.568 ; bitCount[1]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.643      ;
; -1.564 ; pulseCnt[11]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.659      ;
; -1.562 ; bitCountReg[5]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.637      ;
; -1.562 ; pulseCnt[11]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.657      ;
; -1.562 ; pulseCnt[11]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.657      ;
; -1.556 ; pulseCnt[15]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.651      ;
; -1.554 ; pulseCnt[15]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.649      ;
; -1.554 ; pulseCnt[15]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.649      ;
; -1.553 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.421      ;
; -1.552 ; pulseCnt[10]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.647      ;
; -1.551 ; pulseCnt[2]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.646      ;
; -1.551 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.419      ;
; -1.551 ; wb_interface_wieg:wb_interface|pulsewidth[8]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.419      ;
; -1.550 ; bitCountReg[0]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.625      ;
; -1.550 ; pulseCnt[10]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.645      ;
; -1.550 ; pulseCnt[10]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.645      ;
; -1.549 ; bitCountReg[3]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.624      ;
; -1.549 ; pulseCnt[2]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.644      ;
; -1.549 ; pulseCnt[2]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.644      ;
; -1.544 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.412      ;
; -1.542 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.410      ;
; -1.542 ; wb_interface_wieg:wb_interface|pulsewidth[9]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.410      ;
; -1.541 ; bitCountReg[0]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.616      ;
; -1.540 ; bitCountReg[3]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.615      ;
; -1.534 ; bitCountReg[4]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.609      ;
; -1.525 ; bitCountReg[4]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.600      ;
; -1.524 ; pulseCnt[28]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.396      ;
; -1.522 ; pulseCnt[28]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.394      ;
; -1.522 ; pulseCnt[28]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.394      ;
; -1.521 ; bitCountReg[2]                                ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.596      ;
; -1.520 ; p2pCnt[3]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.614      ;
; -1.520 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.388      ;
; -1.518 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.386      ;
; -1.518 ; wb_interface_wieg:wb_interface|pulsewidth[1]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.386      ;
; -1.514 ; pulseCnt[7]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.614     ; 1.387      ;
; -1.512 ; bitCountReg[2]                                ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.587      ;
; -1.512 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.380      ;
; -1.512 ; pulseCnt[7]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.614     ; 1.385      ;
; -1.512 ; pulseCnt[7]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.614     ; 1.385      ;
; -1.511 ; p2pCnt[3]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.605      ;
; -1.510 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.378      ;
; -1.510 ; wb_interface_wieg:wb_interface|pulsewidth[0]  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.619     ; 1.378      ;
; -1.508 ; pulseCnt[8]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.603      ;
; -1.506 ; pulseCnt[8]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.601      ;
; -1.506 ; pulseCnt[8]                                   ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.601      ;
; -1.503 ; p2pCnt[4]                                     ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.597      ;
; -1.500 ; pulseCnt[19]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.594      ;
; -1.498 ; wb_interface_wieg:wb_interface|p2p[3]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.573      ;
; -1.498 ; pulseCnt[19]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.592      ;
; -1.498 ; pulseCnt[19]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.592      ;
; -1.496 ; bitCount[5]                                   ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.571      ;
; -1.496 ; pulseCnt[26]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.368      ;
; -1.495 ; bitCount[5]                                   ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.570      ;
; -1.494 ; p2pCnt[4]                                     ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.588      ;
; -1.494 ; pulseCnt[26]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.366      ;
; -1.494 ; pulseCnt[26]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.366      ;
; -1.489 ; wb_interface_wieg:wb_interface|p2p[3]         ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.412     ; 1.564      ;
; -1.489 ; wb_interface_wieg:wb_interface|size[4]        ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.413     ; 1.563      ;
; -1.488 ; wb_interface_wieg:wb_interface|size[4]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.413     ; 1.562      ;
; -1.483 ; pulseCnt[20]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.577      ;
; -1.482 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.576      ;
; -1.481 ; pulseCnt[20]                                  ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.575      ;
; -1.481 ; pulseCnt[20]                                  ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.575      ;
; -1.480 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.574      ;
; -1.480 ; wb_interface_wieg:wb_interface|pulsewidth[20] ; state.000 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.574      ;
; -1.479 ; wb_interface_wieg:wb_interface|p2p[4]         ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.573      ;
; -1.478 ; pulseCnt[28]                                  ; state.110 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.615     ; 1.350      ;
; -1.477 ; pulseCnt[12]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.572      ;
; -1.476 ; pulseCnt[13]                                  ; state.111 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.392     ; 1.571      ;
; -1.475 ; wb_interface_wieg:wb_interface|p2p[22]        ; state.100 ; wb_clk_i     ; wb_clk_i    ; 0.500        ; -0.393     ; 1.569      ;
+--------+-----------------------------------------------+-----------+--------------+-------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast 1200mV 0C Model Hold: 'wb_clk_i'                                                                                                                                                                                                          ;
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node                                                                                   ; To Node                                                            ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; 0.185 ; zero_o~reg0                                                                                 ; zero_o~reg0                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.307      ;
; 0.185 ; one_o~reg0                                                                                  ; one_o~reg0                                                         ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.307      ;
; 0.186 ; word_out[0]                                                                                 ; word_out[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.307      ;
; 0.186 ; state.111                                                                                   ; state.111                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.307      ;
; 0.186 ; state.100                                                                                   ; state.100                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.307      ;
; 0.186 ; state.000                                                                                   ; state.000                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.307      ;
; 0.192 ; word_out[24]                                                                                ; word_out[25]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.313      ;
; 0.192 ; word_out[18]                                                                                ; word_out[19]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.313      ;
; 0.194 ; word_out[4]                                                                                 ; word_out[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.315      ;
; 0.197 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.328      ;
; 0.203 ; p2pCnt[4]                                                                                   ; p2pCnt[4]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.325      ;
; 0.214 ; state.110                                                                                   ; state.101                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.232      ; 0.530      ;
; 0.234 ; pulseCnt[27]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.578      ;
; 0.234 ; pulseCnt[25]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.578      ;
; 0.243 ; pulseCnt[6]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.587      ;
; 0.247 ; pulseCnt[24]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.591      ;
; 0.254 ; word_out[20]                                                                                ; word_out[21]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.375      ;
; 0.254 ; word_out[5]                                                                                 ; word_out[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.375      ;
; 0.254 ; word_out[3]                                                                                 ; word_out[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.375      ;
; 0.255 ; word_out[25]                                                                                ; word_out[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.376      ;
; 0.255 ; word_out[22]                                                                                ; word_out[23]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.376      ;
; 0.255 ; word_out[17]                                                                                ; word_out[18]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.376      ;
; 0.256 ; word_out[19]                                                                                ; word_out[20]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.377      ;
; 0.256 ; word_out[11]                                                                                ; word_out[12]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.377      ;
; 0.256 ; word_out[2]                                                                                 ; word_out[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.377      ;
; 0.256 ; word_out[1]                                                                                 ; word_out[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.377      ;
; 0.257 ; word_out[16]                                                                                ; word_out[17]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.378      ;
; 0.263 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.394      ;
; 0.264 ; word_out[28]                                                                                ; word_out[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.385      ;
; 0.266 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.039      ; 0.389      ;
; 0.268 ; word_out[27]                                                                                ; word_out[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.389      ;
; 0.280 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]  ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.402      ;
; 0.296 ; pulseCnt[7]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.048      ; 0.428      ;
; 0.296 ; pulseCnt[5]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.640      ;
; 0.297 ; pulseCnt[31]                                                                                ; pulseCnt[31]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.428      ;
; 0.297 ; pulseCnt[27]                                                                                ; pulseCnt[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.641      ;
; 0.298 ; pulseCnt[29]                                                                                ; pulseCnt[29]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.429      ;
; 0.299 ; pulseCnt[30]                                                                                ; pulseCnt[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.430      ;
; 0.300 ; pulseCnt[28]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.431      ;
; 0.300 ; pulseCnt[25]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.644      ;
; 0.300 ; pulseCnt[26]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.047      ; 0.431      ;
; 0.300 ; pulseCnt[23]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.644      ;
; 0.300 ; pulseCnt[27]                                                                                ; pulseCnt[30]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.644      ;
; 0.304 ; word_out[23]                                                                                ; word_out[24]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.425      ;
; 0.304 ; word_out[14]                                                                                ; word_out[15]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.425      ;
; 0.304 ; word_out[10]                                                                                ; word_out[11]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.425      ;
; 0.304 ; full_dly                                                                                    ; wb_interface_wieg:wb_interface|err                                 ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.425      ;
; 0.305 ; word_out[8]                                                                                 ; word_out[9]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.426      ;
; 0.305 ; pulseCnt[15]                                                                                ; pulseCnt[15]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.427      ;
; 0.306 ; word_out[13]                                                                                ; word_out[14]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.427      ;
; 0.306 ; word_out[6]                                                                                 ; word_out[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.427      ;
; 0.306 ; pulseCnt[17]                                                                                ; pulseCnt[17]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.428      ;
; 0.306 ; pulseCnt[13]                                                                                ; pulseCnt[13]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.428      ;
; 0.306 ; pulseCnt[5]                                                                                 ; pulseCnt[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.428      ;
; 0.306 ; pulseCnt[3]                                                                                 ; pulseCnt[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.428      ;
; 0.306 ; pulseCnt[1]                                                                                 ; pulseCnt[1]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.428      ;
; 0.307 ; bitCount[5]                                                                                 ; bitCount[5]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; bitCount[1]                                                                                 ; bitCount[1]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; pulseCnt[27]                                                                                ; pulseCnt[27]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; pulseCnt[25]                                                                                ; pulseCnt[25]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; pulseCnt[23]                                                                                ; pulseCnt[23]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; pulseCnt[21]                                                                                ; pulseCnt[21]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; pulseCnt[19]                                                                                ; pulseCnt[19]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; pulseCnt[16]                                                                                ; pulseCnt[16]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; pulseCnt[14]                                                                                ; pulseCnt[14]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; pulseCnt[11]                                                                                ; pulseCnt[11]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; pulseCnt[9]                                                                                 ; pulseCnt[9]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; p2pCnt[1]                                                                                   ; p2pCnt[1]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; pulseCnt[8]                                                                                 ; pulseCnt[8]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; pulseCnt[6]                                                                                 ; pulseCnt[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.307 ; pulseCnt[2]                                                                                 ; pulseCnt[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.429      ;
; 0.308 ; bitCountReg[3]                                                                              ; bitCountReg[3]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; bitCountReg[2]                                                                              ; bitCountReg[2]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; bitCount[6]                                                                                 ; bitCount[6]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; bitCount[4]                                                                                 ; bitCount[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; bitCount[3]                                                                                 ; bitCount[3]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; bitCount[2]                                                                                 ; bitCount[2]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; pulseCnt[24]                                                                                ; pulseCnt[24]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; pulseCnt[22]                                                                                ; pulseCnt[22]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; pulseCnt[20]                                                                                ; pulseCnt[20]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; pulseCnt[18]                                                                                ; pulseCnt[18]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; pulseCnt[12]                                                                                ; pulseCnt[12]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; pulseCnt[10]                                                                                ; pulseCnt[10]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; p2pCnt[3]                                                                                   ; p2pCnt[3]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; p2pCnt[2]                                                                                   ; p2pCnt[2]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.308 ; pulseCnt[4]                                                                                 ; pulseCnt[4]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.430      ;
; 0.310 ; bitCountReg[5]                                                                              ; bitCountReg[5]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.432      ;
; 0.310 ; pulseCnt[4]                                                                                 ; pulseCnt[7]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.654      ;
; 0.311 ; bitCountReg[1]                                                                              ; bitCountReg[1]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.433      ;
; 0.312 ; bitCountReg[4]                                                                              ; bitCountReg[4]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.434      ;
; 0.312 ; bitCountReg[6]                                                                              ; bitCountReg[6]                                                     ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.434      ;
; 0.313 ; pulseCnt[24]                                                                                ; pulseCnt[28]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.657      ;
; 0.313 ; pulseCnt[22]                                                                                ; pulseCnt[26]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.260      ; 0.657      ;
; 0.317 ; p2pCnt[0]                                                                                   ; p2pCnt[0]                                                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.439      ;
; 0.317 ; pulseCnt[0]                                                                                 ; pulseCnt[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.439      ;
; 0.319 ; bitCount[0]                                                                                 ; bitCount[0]                                                        ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.441      ;
; 0.320 ; word_out[12]                                                                                ; word_out[13]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.441      ;
; 0.320 ; word_out[9]                                                                                 ; word_out[10]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.441      ;
; 0.321 ; word_out[21]                                                                                ; word_out[22]                                                       ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.037      ; 0.442      ;
; 0.326 ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[5] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.038      ; 0.448      ;
+-------+---------------------------------------------------------------------------------------------+--------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast 1200mV 0C Model Recovery: 'wb_clk_i'                                                                                                                                                                           ;
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node                              ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.050     ; 2.022      ;
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[2]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.050     ; 2.022      ;
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.050     ; 2.022      ;
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
; -1.085 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 2.020      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.056     ; 1.816      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.052     ; 1.820      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
; -0.885 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.053     ; 1.819      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.051     ; 1.820      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
; -0.884 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 1.000        ; -0.057     ; 1.814      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.145      ; 1.822      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.145      ; 1.822      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.144      ; 1.821      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.145      ; 1.822      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.145      ; 1.822      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.144      ; 1.821      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.145      ; 1.822      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.144      ; 1.821      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.145      ; 1.822      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.142      ; 1.819      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.144      ; 1.821      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.144      ; 1.821      ;
; -0.690 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.141      ; 1.818      ;
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
; -0.689 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.137      ; 1.813      ;
; -0.679 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.155      ; 1.821      ;
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.162      ; 1.821      ;
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.162      ; 1.821      ;
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.162      ; 1.821      ;
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
; -0.672 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.159      ; 1.818      ;
; -0.666 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.166      ; 1.819      ;
; -0.666 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.168      ; 1.821      ;
; -0.666 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 1.000        ; 0.169      ; 1.822      ;
+--------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast 1200mV 0C Model Removal: 'wb_clk_i'                                                                                                                                                                           ;
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node                              ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.711      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
; 1.353 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.278      ; 1.715      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.281      ; 1.719      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
; 1.354 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.279      ; 1.717      ;
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.718      ;
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.718      ;
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.274      ; 1.718      ;
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
; 1.360 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.271      ; 1.715      ;
; 1.366 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.268      ; 1.718      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.249      ; 1.711      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
; 1.378 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.253      ; 1.715      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.256      ; 1.719      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.256      ; 1.719      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.256      ; 1.719      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.256      ; 1.719      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.256      ; 1.719      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.256      ; 1.719      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
; 1.379 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.254      ; 1.717      ;
; 1.380 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.255      ; 1.719      ;
; 1.380 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.255      ; 1.719      ;
; 1.380 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.255      ; 1.719      ;
; 1.380 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[5] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.255      ; 1.719      ;
; 1.380 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|mem_byte:mem[1].mem_byte|byte_reg[1] ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.255      ; 1.719      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.046      ; 1.711      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[7]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[6]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[5]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[4]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[3]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[2]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[1]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
; 1.581 ; wb_interface_wieg:wb_interface|size[8] ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp8|fifo_out[0]                          ; wb_clk_i     ; wb_clk_i    ; 0.000        ; 0.051      ; 1.716      ;
+-------+----------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast 1200mV 0C Model Minimum Pulse Width: 'wb_clk_i'                                                                                                                      ;
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type       ; Clock    ; Clock Edge ; Target                                                                                      ;
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; wb_clk_i ; Rise       ; wb_clk_i                                                                                    ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[0]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[1]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[2]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[3]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[4]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[5]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCountReg[6]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[0]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[1]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[2]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[3]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[4]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[5]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; bitCount[6]                                                                                 ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[0]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[1]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_rd[2]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[0]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[1]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|addr_wr[2]                           ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[0]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[1]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[2]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[3]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[4]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[5]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[6]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|fifo_out[7]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[0].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[1].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp5|mem_byte:mem[2].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[0]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[1]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[2]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[3]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[4]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[5]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[6]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|fifo_out[7]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[0].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[1].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp6|mem_byte:mem[2].mem_byte|byte_reg[7] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[0]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[1]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[2]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[3]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[4]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[5]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[6]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|fifo_out[7]                          ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; wb_clk_i ; Fall       ; fifo_wieg:datafifowrite|custom_fifo_dp:custom_fifo_dp7|mem_byte:mem[0].mem_byte|byte_reg[6] ;
+--------+--------------+----------------+------------+----------+------------+---------------------------------------------------------------------------------------------+


+---------------------------------------------------------------------------+
; Setup Times                                                               ;
+---------------+------------+-------+-------+------------+-----------------+
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+---------------+------------+-------+-------+------------+-----------------+
; wb_adr_i[*]   ; wb_clk_i   ; 2.500 ; 2.887 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; 1.457 ; 2.001 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; 2.341 ; 2.712 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; 2.249 ; 2.608 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; 2.329 ; 2.714 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; 2.500 ; 2.887 ; Rise       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; 1.626 ; 2.300 ; Rise       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; 1.783 ; 2.480 ; Rise       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; 1.414 ; 2.035 ; Rise       ; wb_clk_i        ;
; wb_adr_i[*]   ; wb_clk_i   ; 3.938 ; 4.184 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; 2.699 ; 3.270 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[1]  ; wb_clk_i   ; 2.570 ; 3.368 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; 3.779 ; 4.009 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; 3.687 ; 3.905 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; 3.767 ; 4.011 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; 3.938 ; 4.184 ; Fall       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; 2.154 ; 2.898 ; Fall       ; wb_clk_i        ;
; wb_dat_i[*]   ; wb_clk_i   ; 1.279 ; 1.970 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[0]  ; wb_clk_i   ; 0.953 ; 1.584 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[1]  ; wb_clk_i   ; 0.681 ; 1.288 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[2]  ; wb_clk_i   ; 0.582 ; 1.162 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[3]  ; wb_clk_i   ; 0.964 ; 1.585 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[4]  ; wb_clk_i   ; 0.977 ; 1.644 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[5]  ; wb_clk_i   ; 0.853 ; 1.471 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[6]  ; wb_clk_i   ; 1.176 ; 1.865 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[7]  ; wb_clk_i   ; 0.823 ; 1.450 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[8]  ; wb_clk_i   ; 1.102 ; 1.770 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[9]  ; wb_clk_i   ; 0.866 ; 1.498 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[10] ; wb_clk_i   ; 1.112 ; 1.783 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[11] ; wb_clk_i   ; 0.950 ; 1.597 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[12] ; wb_clk_i   ; 0.788 ; 1.431 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[13] ; wb_clk_i   ; 1.174 ; 1.844 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[14] ; wb_clk_i   ; 1.078 ; 1.751 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[15] ; wb_clk_i   ; 0.935 ; 1.565 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[16] ; wb_clk_i   ; 0.964 ; 1.614 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[17] ; wb_clk_i   ; 1.049 ; 1.711 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[18] ; wb_clk_i   ; 0.949 ; 1.597 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[19] ; wb_clk_i   ; 0.976 ; 1.599 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[20] ; wb_clk_i   ; 1.279 ; 1.965 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[21] ; wb_clk_i   ; 1.012 ; 1.669 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[22] ; wb_clk_i   ; 0.392 ; 0.964 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[23] ; wb_clk_i   ; 0.810 ; 1.425 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[24] ; wb_clk_i   ; 0.989 ; 1.631 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[25] ; wb_clk_i   ; 0.803 ; 1.432 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[26] ; wb_clk_i   ; 0.862 ; 1.512 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[27] ; wb_clk_i   ; 0.893 ; 1.554 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[28] ; wb_clk_i   ; 0.747 ; 1.327 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[29] ; wb_clk_i   ; 0.934 ; 1.597 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[30] ; wb_clk_i   ; 1.081 ; 1.765 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[31] ; wb_clk_i   ; 1.275 ; 1.970 ; Fall       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; 2.311 ; 3.078 ; Fall       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; 1.725 ; 2.404 ; Fall       ; wb_clk_i        ;
+---------------+------------+-------+-------+------------+-----------------+


+-----------------------------------------------------------------------------+
; Hold Times                                                                  ;
+---------------+------------+--------+--------+------------+-----------------+
; Data Port     ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
+---------------+------------+--------+--------+------------+-----------------+
; wb_adr_i[*]   ; wb_clk_i   ; -0.829 ; -1.391 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; -0.829 ; -1.391 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; -1.937 ; -2.322 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; -1.848 ; -2.220 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; -1.924 ; -2.322 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; -2.090 ; -2.490 ; Rise       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; -1.014 ; -1.655 ; Rise       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; -1.159 ; -1.809 ; Rise       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; -0.811 ; -1.397 ; Rise       ; wb_clk_i        ;
; wb_adr_i[*]   ; wb_clk_i   ; -0.830 ; -1.413 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; -0.830 ; -1.413 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[1]  ; wb_clk_i   ; -1.255 ; -1.844 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; -2.269 ; -2.619 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; -2.180 ; -2.517 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; -2.256 ; -2.619 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; -2.422 ; -2.787 ; Fall       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; -1.178 ; -1.857 ; Fall       ; wb_clk_i        ;
; wb_dat_i[*]   ; wb_clk_i   ; 0.045  ; -0.503 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[0]  ; wb_clk_i   ; -0.098 ; -0.645 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[1]  ; wb_clk_i   ; 0.001  ; -0.547 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[2]  ; wb_clk_i   ; -0.078 ; -0.642 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[3]  ; wb_clk_i   ; -0.049 ; -0.626 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[4]  ; wb_clk_i   ; -0.315 ; -0.919 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[5]  ; wb_clk_i   ; 0.045  ; -0.503 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[6]  ; wb_clk_i   ; -0.515 ; -1.152 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[7]  ; wb_clk_i   ; -0.139 ; -0.697 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[8]  ; wb_clk_i   ; -0.259 ; -0.872 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[9]  ; wb_clk_i   ; -0.299 ; -0.926 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[10] ; wb_clk_i   ; -0.612 ; -1.270 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[11] ; wb_clk_i   ; -0.412 ; -1.044 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[12] ; wb_clk_i   ; -0.241 ; -0.857 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[13] ; wb_clk_i   ; -0.449 ; -1.084 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[14] ; wb_clk_i   ; -0.328 ; -0.954 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[15] ; wb_clk_i   ; -0.293 ; -0.918 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[16] ; wb_clk_i   ; -0.458 ; -1.080 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[17] ; wb_clk_i   ; -0.357 ; -0.974 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[18] ; wb_clk_i   ; -0.351 ; -0.966 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[19] ; wb_clk_i   ; -0.377 ; -0.969 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[20] ; wb_clk_i   ; -0.587 ; -1.238 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[21] ; wb_clk_i   ; -0.466 ; -1.117 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[22] ; wb_clk_i   ; -0.124 ; -0.675 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[23] ; wb_clk_i   ; -0.291 ; -0.842 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[24] ; wb_clk_i   ; -0.202 ; -0.807 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[25] ; wb_clk_i   ; -0.300 ; -0.933 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[26] ; wb_clk_i   ; -0.320 ; -0.955 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[27] ; wb_clk_i   ; -0.346 ; -0.974 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[28] ; wb_clk_i   ; -0.132 ; -0.715 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[29] ; wb_clk_i   ; -0.267 ; -0.893 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[30] ; wb_clk_i   ; -0.378 ; -1.014 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[31] ; wb_clk_i   ; -0.772 ; -1.446 ; Fall       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; -1.287 ; -1.969 ; Fall       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; -0.764 ; -1.380 ; Fall       ; wb_clk_i        ;
+---------------+------------+--------+--------+------------+-----------------+


+---------------------------------------------------------------------------+
; Clock to Output Times                                                     ;
+---------------+------------+-------+-------+------------+-----------------+
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+---------------+------------+-------+-------+------------+-----------------+
; wb_ack_o      ; wb_clk_i   ; 4.319 ; 4.385 ; Rise       ; wb_clk_i        ;
; wb_err_o      ; wb_clk_i   ; 3.995 ; 4.070 ; Rise       ; wb_clk_i        ;
; wb_rty_o      ; wb_clk_i   ; 3.697 ; 3.743 ; Rise       ; wb_clk_i        ;
; one_o         ; wb_clk_i   ; 4.581 ; 4.448 ; Fall       ; wb_clk_i        ;
; wb_dat_o[*]   ; wb_clk_i   ; 5.916 ; 6.182 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[0]  ; wb_clk_i   ; 4.844 ; 4.957 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[1]  ; wb_clk_i   ; 5.589 ; 5.601 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[2]  ; wb_clk_i   ; 5.218 ; 5.441 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[3]  ; wb_clk_i   ; 5.087 ; 5.164 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[4]  ; wb_clk_i   ; 5.360 ; 5.551 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[5]  ; wb_clk_i   ; 5.024 ; 5.181 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[6]  ; wb_clk_i   ; 5.417 ; 5.623 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[7]  ; wb_clk_i   ; 5.574 ; 5.743 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[8]  ; wb_clk_i   ; 4.928 ; 5.057 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[9]  ; wb_clk_i   ; 5.916 ; 6.182 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[10] ; wb_clk_i   ; 5.716 ; 5.899 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[11] ; wb_clk_i   ; 5.130 ; 5.333 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[12] ; wb_clk_i   ; 4.532 ; 4.621 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[13] ; wb_clk_i   ; 4.527 ; 4.618 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[14] ; wb_clk_i   ; 5.296 ; 5.510 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[15] ; wb_clk_i   ; 5.155 ; 5.370 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[16] ; wb_clk_i   ; 4.890 ; 5.046 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[17] ; wb_clk_i   ; 4.999 ; 5.182 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[18] ; wb_clk_i   ; 5.480 ; 5.720 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[19] ; wb_clk_i   ; 4.137 ; 4.195 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[20] ; wb_clk_i   ; 4.594 ; 4.710 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[21] ; wb_clk_i   ; 4.264 ; 4.328 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[22] ; wb_clk_i   ; 5.012 ; 5.116 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[23] ; wb_clk_i   ; 4.779 ; 4.896 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[24] ; wb_clk_i   ; 4.687 ; 4.797 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[25] ; wb_clk_i   ; 5.615 ; 5.861 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[26] ; wb_clk_i   ; 5.137 ; 5.275 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[27] ; wb_clk_i   ; 4.858 ; 5.026 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[28] ; wb_clk_i   ; 5.074 ; 5.195 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[29] ; wb_clk_i   ; 5.083 ; 5.214 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[30] ; wb_clk_i   ; 4.686 ; 4.782 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[31] ; wb_clk_i   ; 4.465 ; 4.581 ; Fall       ; wb_clk_i        ;
; zero_o        ; wb_clk_i   ; 5.858 ; 5.626 ; Fall       ; wb_clk_i        ;
+---------------+------------+-------+-------+------------+-----------------+


+---------------------------------------------------------------------------+
; Minimum Clock to Output Times                                             ;
+---------------+------------+-------+-------+------------+-----------------+
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+---------------+------------+-------+-------+------------+-----------------+
; wb_ack_o      ; wb_clk_i   ; 4.193 ; 4.256 ; Rise       ; wb_clk_i        ;
; wb_err_o      ; wb_clk_i   ; 3.858 ; 3.928 ; Rise       ; wb_clk_i        ;
; wb_rty_o      ; wb_clk_i   ; 3.571 ; 3.614 ; Rise       ; wb_clk_i        ;
; one_o         ; wb_clk_i   ; 4.435 ; 4.307 ; Fall       ; wb_clk_i        ;
; wb_dat_o[*]   ; wb_clk_i   ; 3.890 ; 3.910 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[0]  ; wb_clk_i   ; 4.385 ; 4.493 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[1]  ; wb_clk_i   ; 4.095 ; 4.148 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[2]  ; wb_clk_i   ; 4.838 ; 4.982 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[3]  ; wb_clk_i   ; 4.143 ; 4.221 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[4]  ; wb_clk_i   ; 4.452 ; 4.521 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[5]  ; wb_clk_i   ; 4.225 ; 4.291 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[6]  ; wb_clk_i   ; 4.584 ; 4.700 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[7]  ; wb_clk_i   ; 4.853 ; 4.956 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[8]  ; wb_clk_i   ; 4.301 ; 4.365 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[9]  ; wb_clk_i   ; 5.210 ; 5.426 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[10] ; wb_clk_i   ; 5.383 ; 5.522 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[11] ; wb_clk_i   ; 4.895 ; 5.056 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[12] ; wb_clk_i   ; 4.079 ; 4.120 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[13] ; wb_clk_i   ; 4.093 ; 4.136 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[14] ; wb_clk_i   ; 4.976 ; 5.148 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[15] ; wb_clk_i   ; 4.912 ; 5.088 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[16] ; wb_clk_i   ; 4.584 ; 4.700 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[17] ; wb_clk_i   ; 4.826 ; 4.974 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[18] ; wb_clk_i   ; 5.288 ; 5.490 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[19] ; wb_clk_i   ; 3.890 ; 3.910 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[20] ; wb_clk_i   ; 4.289 ; 4.366 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[21] ; wb_clk_i   ; 4.117 ; 4.151 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[22] ; wb_clk_i   ; 4.758 ; 4.841 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[23] ; wb_clk_i   ; 4.218 ; 4.272 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[24] ; wb_clk_i   ; 4.232 ; 4.293 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[25] ; wb_clk_i   ; 5.230 ; 5.426 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[26] ; wb_clk_i   ; 4.826 ; 4.922 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[27] ; wb_clk_i   ; 4.578 ; 4.706 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[28] ; wb_clk_i   ; 4.761 ; 4.837 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[29] ; wb_clk_i   ; 4.908 ; 5.004 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[30] ; wb_clk_i   ; 4.527 ; 4.595 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[31] ; wb_clk_i   ; 4.201 ; 4.277 ; Fall       ; wb_clk_i        ;
; zero_o        ; wb_clk_i   ; 5.661 ; 5.440 ; Fall       ; wb_clk_i        ;
+---------------+------------+-------+-------+------------+-----------------+


+------------------------------------------------------------+
; Propagation Delay                                          ;
+-------------+--------------+-------+-------+-------+-------+
; Input Port  ; Output Port  ; RR    ; RF    ; FR    ; FF    ;
+-------------+--------------+-------+-------+-------+-------+
; wb_adr_i[0] ; wb_dat_o[0]  ; 5.357 ; 5.518 ; 6.142 ; 5.936 ;
; wb_adr_i[0] ; wb_dat_o[1]  ; 5.582 ; 5.788 ; 6.356 ; 6.223 ;
; wb_adr_i[0] ; wb_dat_o[2]  ; 5.846 ; 6.102 ; 6.633 ; 6.608 ;
; wb_adr_i[0] ; wb_dat_o[3]  ; 5.722 ; 5.944 ; 6.499 ; 6.377 ;
; wb_adr_i[0] ; wb_dat_o[4]  ; 6.382 ; 6.696 ; 7.266 ; 7.022 ;
; wb_adr_i[0] ; wb_dat_o[5]  ; 6.332 ; 6.453 ; 7.040 ; 6.937 ;
; wb_adr_i[0] ; wb_dat_o[6]  ; 6.448 ; 6.768 ; 7.323 ; 7.102 ;
; wb_adr_i[0] ; wb_dat_o[7]  ; 6.551 ; 6.832 ; 7.423 ; 7.164 ;
; wb_adr_i[0] ; wb_dat_o[8]  ; 5.748 ; 5.845 ; 6.495 ; 6.309 ;
; wb_adr_i[0] ; wb_dat_o[9]  ; 6.708 ; 6.888 ; 7.408 ; 7.379 ;
; wb_adr_i[0] ; wb_dat_o[10] ; 6.543 ; 6.789 ; 7.331 ; 7.207 ;
; wb_adr_i[0] ; wb_dat_o[11] ; 6.183 ; 6.316 ; 6.804 ; 6.863 ;
; wb_adr_i[0] ; wb_dat_o[12] ; 5.194 ; 5.333 ; 5.976 ; 5.754 ;
; wb_adr_i[0] ; wb_dat_o[13] ; 5.204 ; 5.346 ; 5.987 ; 5.765 ;
; wb_adr_i[0] ; wb_dat_o[14] ; 6.125 ; 6.405 ; 6.915 ; 6.820 ;
; wb_adr_i[0] ; wb_dat_o[15] ; 6.201 ; 6.348 ; 6.822 ; 6.895 ;
; wb_adr_i[0] ; wb_dat_o[16] ; 5.716 ; 5.935 ; 6.503 ; 6.353 ;
; wb_adr_i[0] ; wb_dat_o[17] ; 6.114 ; 6.233 ; 6.739 ; 6.779 ;
; wb_adr_i[0] ; wb_dat_o[18] ; 6.575 ; 6.792 ; 7.226 ; 7.300 ;
; wb_adr_i[0] ; wb_dat_o[19] ; 4.998 ; 5.109 ; 5.772 ; 5.537 ;
; wb_adr_i[0] ; wb_dat_o[20] ; 5.412 ; 5.588 ; 6.194 ; 6.009 ;
; wb_adr_i[0] ; wb_dat_o[21] ; 5.384 ; 5.384 ; 6.000 ; 5.931 ;
; wb_adr_i[0] ; wb_dat_o[22] ; 5.746 ; 5.780 ; 6.383 ; 6.311 ;
; wb_adr_i[0] ; wb_dat_o[23] ; 5.337 ; 5.482 ; 6.109 ; 5.911 ;
; wb_adr_i[0] ; wb_dat_o[24] ; 5.339 ; 5.486 ; 6.093 ; 5.922 ;
; wb_adr_i[0] ; wb_dat_o[25] ; 6.393 ; 6.687 ; 7.166 ; 7.115 ;
; wb_adr_i[0] ; wb_dat_o[26] ; 5.829 ; 6.042 ; 6.623 ; 6.463 ;
; wb_adr_i[0] ; wb_dat_o[27] ; 5.573 ; 5.813 ; 6.358 ; 6.239 ;
; wb_adr_i[0] ; wb_dat_o[28] ; 5.759 ; 5.950 ; 6.550 ; 6.373 ;
; wb_adr_i[0] ; wb_dat_o[29] ; 6.058 ; 6.123 ; 6.692 ; 6.690 ;
; wb_adr_i[0] ; wb_dat_o[30] ; 5.986 ; 6.081 ; 6.724 ; 6.538 ;
; wb_adr_i[0] ; wb_dat_o[31] ; 5.523 ; 5.750 ; 6.388 ; 6.089 ;
; wb_adr_i[1] ; wb_dat_o[0]  ; 5.328 ; 5.566 ; 6.233 ; 6.066 ;
; wb_adr_i[1] ; wb_dat_o[1]  ; 5.558 ; 5.836 ; 6.447 ; 6.348 ;
; wb_adr_i[1] ; wb_dat_o[2]  ; 5.832 ; 6.150 ; 6.724 ; 6.699 ;
; wb_adr_i[1] ; wb_dat_o[3]  ; 5.698 ; 5.992 ; 6.590 ; 6.502 ;
; wb_adr_i[1] ; wb_dat_o[4]  ; 6.315 ; 6.744 ; 7.357 ; 7.190 ;
; wb_adr_i[1] ; wb_dat_o[5]  ; 6.232 ; 6.501 ; 7.131 ; 7.093 ;
; wb_adr_i[1] ; wb_dat_o[6]  ; 6.380 ; 6.816 ; 7.414 ; 7.271 ;
; wb_adr_i[1] ; wb_dat_o[7]  ; 6.483 ; 6.880 ; 7.514 ; 7.333 ;
; wb_adr_i[1] ; wb_dat_o[8]  ; 5.692 ; 5.893 ; 6.586 ; 6.490 ;
; wb_adr_i[1] ; wb_dat_o[9]  ; 6.607 ; 6.936 ; 7.499 ; 7.536 ;
; wb_adr_i[1] ; wb_dat_o[10] ; 6.504 ; 6.837 ; 7.422 ; 7.347 ;
; wb_adr_i[1] ; wb_dat_o[11] ; 6.114 ; 6.330 ; 6.895 ; 6.985 ;
; wb_adr_i[1] ; wb_dat_o[12] ; 5.155 ; 5.381 ; 6.067 ; 5.894 ;
; wb_adr_i[1] ; wb_dat_o[13] ; 5.164 ; 5.394 ; 6.078 ; 5.906 ;
; wb_adr_i[1] ; wb_dat_o[14] ; 6.085 ; 6.453 ; 7.006 ; 6.961 ;
; wb_adr_i[1] ; wb_dat_o[15] ; 6.132 ; 6.362 ; 6.913 ; 7.017 ;
; wb_adr_i[1] ; wb_dat_o[16] ; 5.676 ; 5.983 ; 6.594 ; 6.494 ;
; wb_adr_i[1] ; wb_dat_o[17] ; 6.044 ; 6.250 ; 6.830 ; 6.902 ;
; wb_adr_i[1] ; wb_dat_o[18] ; 6.552 ; 6.802 ; 7.317 ; 7.461 ;
; wb_adr_i[1] ; wb_dat_o[19] ; 4.959 ; 5.157 ; 5.863 ; 5.677 ;
; wb_adr_i[1] ; wb_dat_o[20] ; 5.373 ; 5.636 ; 6.285 ; 6.149 ;
; wb_adr_i[1] ; wb_dat_o[21] ; 5.315 ; 5.395 ; 6.091 ; 6.053 ;
; wb_adr_i[1] ; wb_dat_o[22] ; 5.709 ; 5.825 ; 6.474 ; 6.449 ;
; wb_adr_i[1] ; wb_dat_o[23] ; 5.298 ; 5.530 ; 6.200 ; 6.051 ;
; wb_adr_i[1] ; wb_dat_o[24] ; 5.302 ; 5.534 ; 6.184 ; 6.060 ;
; wb_adr_i[1] ; wb_dat_o[25] ; 6.354 ; 6.735 ; 7.257 ; 7.255 ;
; wb_adr_i[1] ; wb_dat_o[26] ; 5.805 ; 6.090 ; 6.714 ; 6.588 ;
; wb_adr_i[1] ; wb_dat_o[27] ; 5.549 ; 5.861 ; 6.449 ; 6.364 ;
; wb_adr_i[1] ; wb_dat_o[28] ; 5.735 ; 5.998 ; 6.641 ; 6.498 ;
; wb_adr_i[1] ; wb_dat_o[29] ; 6.009 ; 6.155 ; 6.783 ; 6.792 ;
; wb_adr_i[1] ; wb_dat_o[30] ; 5.930 ; 6.129 ; 6.815 ; 6.734 ;
; wb_adr_i[1] ; wb_dat_o[31] ; 5.454 ; 5.798 ; 6.479 ; 6.259 ;
; wb_adr_i[2] ; wb_dat_o[0]  ; 6.643 ; 6.477 ; 6.767 ; 7.005 ;
; wb_adr_i[2] ; wb_dat_o[1]  ; 6.857 ; 6.759 ; 6.997 ; 7.275 ;
; wb_adr_i[2] ; wb_dat_o[2]  ; 7.134 ; 7.109 ; 7.271 ; 7.589 ;
; wb_adr_i[2] ; wb_dat_o[3]  ; 7.000 ; 6.913 ; 7.137 ; 7.431 ;
; wb_adr_i[2] ; wb_dat_o[4]  ; 7.767 ; 7.601 ; 7.754 ; 8.183 ;
; wb_adr_i[2] ; wb_dat_o[5]  ; 7.541 ; 7.504 ; 7.671 ; 7.940 ;
; wb_adr_i[2] ; wb_dat_o[6]  ; 7.824 ; 7.682 ; 7.819 ; 8.255 ;
; wb_adr_i[2] ; wb_dat_o[7]  ; 7.924 ; 7.744 ; 7.922 ; 8.319 ;
; wb_adr_i[2] ; wb_dat_o[8]  ; 6.996 ; 6.901 ; 7.131 ; 7.332 ;
; wb_adr_i[2] ; wb_dat_o[9]  ; 7.909 ; 7.947 ; 8.046 ; 8.375 ;
; wb_adr_i[2] ; wb_dat_o[10] ; 7.832 ; 7.758 ; 7.943 ; 8.276 ;
; wb_adr_i[2] ; wb_dat_o[11] ; 7.305 ; 7.396 ; 7.553 ; 7.769 ;
; wb_adr_i[2] ; wb_dat_o[12] ; 6.477 ; 6.305 ; 6.594 ; 6.820 ;
; wb_adr_i[2] ; wb_dat_o[13] ; 6.488 ; 6.317 ; 6.603 ; 6.833 ;
; wb_adr_i[2] ; wb_dat_o[14] ; 7.416 ; 7.372 ; 7.524 ; 7.892 ;
; wb_adr_i[2] ; wb_dat_o[15] ; 7.323 ; 7.428 ; 7.571 ; 7.801 ;
; wb_adr_i[2] ; wb_dat_o[16] ; 7.004 ; 6.905 ; 7.115 ; 7.422 ;
; wb_adr_i[2] ; wb_dat_o[17] ; 7.240 ; 7.313 ; 7.483 ; 7.689 ;
; wb_adr_i[2] ; wb_dat_o[18] ; 7.727 ; 7.872 ; 7.991 ; 8.241 ;
; wb_adr_i[2] ; wb_dat_o[19] ; 6.273 ; 6.088 ; 6.398 ; 6.596 ;
; wb_adr_i[2] ; wb_dat_o[20] ; 6.695 ; 6.560 ; 6.812 ; 7.075 ;
; wb_adr_i[2] ; wb_dat_o[21] ; 6.501 ; 6.464 ; 6.754 ; 6.834 ;
; wb_adr_i[2] ; wb_dat_o[22] ; 6.884 ; 6.860 ; 7.148 ; 7.264 ;
; wb_adr_i[2] ; wb_dat_o[23] ; 6.610 ; 6.462 ; 6.737 ; 6.969 ;
; wb_adr_i[2] ; wb_dat_o[24] ; 6.594 ; 6.471 ; 6.741 ; 6.973 ;
; wb_adr_i[2] ; wb_dat_o[25] ; 7.667 ; 7.666 ; 7.793 ; 8.174 ;
; wb_adr_i[2] ; wb_dat_o[26] ; 7.124 ; 6.999 ; 7.244 ; 7.529 ;
; wb_adr_i[2] ; wb_dat_o[27] ; 6.859 ; 6.775 ; 6.988 ; 7.300 ;
; wb_adr_i[2] ; wb_dat_o[28] ; 7.051 ; 6.909 ; 7.174 ; 7.437 ;
; wb_adr_i[2] ; wb_dat_o[29] ; 7.193 ; 7.203 ; 7.448 ; 7.594 ;
; wb_adr_i[2] ; wb_dat_o[30] ; 7.225 ; 7.145 ; 7.369 ; 7.568 ;
; wb_adr_i[2] ; wb_dat_o[31] ; 6.889 ; 6.670 ; 6.893 ; 7.237 ;
; wb_adr_i[3] ; wb_dat_o[0]  ; 6.551 ; 6.385 ; 6.663 ; 6.901 ;
; wb_adr_i[3] ; wb_dat_o[1]  ; 6.765 ; 6.667 ; 6.893 ; 7.171 ;
; wb_adr_i[3] ; wb_dat_o[2]  ; 7.042 ; 7.017 ; 7.167 ; 7.485 ;
; wb_adr_i[3] ; wb_dat_o[3]  ; 6.908 ; 6.821 ; 7.033 ; 7.327 ;
; wb_adr_i[3] ; wb_dat_o[4]  ; 7.675 ; 7.509 ; 7.650 ; 8.079 ;
; wb_adr_i[3] ; wb_dat_o[5]  ; 7.449 ; 7.412 ; 7.567 ; 7.836 ;
; wb_adr_i[3] ; wb_dat_o[6]  ; 7.732 ; 7.590 ; 7.715 ; 8.151 ;
; wb_adr_i[3] ; wb_dat_o[7]  ; 7.832 ; 7.652 ; 7.818 ; 8.215 ;
; wb_adr_i[3] ; wb_dat_o[8]  ; 6.904 ; 6.809 ; 7.027 ; 7.228 ;
; wb_adr_i[3] ; wb_dat_o[9]  ; 7.817 ; 7.855 ; 7.942 ; 8.271 ;
; wb_adr_i[3] ; wb_dat_o[10] ; 7.740 ; 7.666 ; 7.839 ; 8.172 ;
; wb_adr_i[3] ; wb_dat_o[11] ; 7.213 ; 7.304 ; 7.449 ; 7.665 ;
; wb_adr_i[3] ; wb_dat_o[12] ; 6.385 ; 6.213 ; 6.490 ; 6.716 ;
; wb_adr_i[3] ; wb_dat_o[13] ; 6.396 ; 6.225 ; 6.499 ; 6.729 ;
; wb_adr_i[3] ; wb_dat_o[14] ; 7.324 ; 7.280 ; 7.420 ; 7.788 ;
; wb_adr_i[3] ; wb_dat_o[15] ; 7.231 ; 7.336 ; 7.467 ; 7.697 ;
; wb_adr_i[3] ; wb_dat_o[16] ; 6.912 ; 6.813 ; 7.011 ; 7.318 ;
; wb_adr_i[3] ; wb_dat_o[17] ; 7.148 ; 7.221 ; 7.379 ; 7.585 ;
; wb_adr_i[3] ; wb_dat_o[18] ; 7.635 ; 7.780 ; 7.887 ; 8.137 ;
; wb_adr_i[3] ; wb_dat_o[19] ; 6.181 ; 5.996 ; 6.294 ; 6.492 ;
; wb_adr_i[3] ; wb_dat_o[20] ; 6.603 ; 6.468 ; 6.708 ; 6.971 ;
; wb_adr_i[3] ; wb_dat_o[21] ; 6.409 ; 6.372 ; 6.650 ; 6.730 ;
; wb_adr_i[3] ; wb_dat_o[22] ; 6.792 ; 6.768 ; 7.044 ; 7.160 ;
; wb_adr_i[3] ; wb_dat_o[23] ; 6.518 ; 6.370 ; 6.633 ; 6.865 ;
; wb_adr_i[3] ; wb_dat_o[24] ; 6.502 ; 6.379 ; 6.637 ; 6.869 ;
; wb_adr_i[3] ; wb_dat_o[25] ; 7.575 ; 7.574 ; 7.689 ; 8.070 ;
; wb_adr_i[3] ; wb_dat_o[26] ; 7.032 ; 6.907 ; 7.140 ; 7.425 ;
; wb_adr_i[3] ; wb_dat_o[27] ; 6.767 ; 6.683 ; 6.884 ; 7.196 ;
; wb_adr_i[3] ; wb_dat_o[28] ; 6.959 ; 6.817 ; 7.070 ; 7.333 ;
; wb_adr_i[3] ; wb_dat_o[29] ; 7.101 ; 7.111 ; 7.344 ; 7.490 ;
; wb_adr_i[3] ; wb_dat_o[30] ; 7.133 ; 7.053 ; 7.265 ; 7.464 ;
; wb_adr_i[3] ; wb_dat_o[31] ; 6.797 ; 6.578 ; 6.789 ; 7.133 ;
; wb_adr_i[4] ; wb_dat_o[0]  ; 6.631 ; 6.465 ; 6.769 ; 7.007 ;
; wb_adr_i[4] ; wb_dat_o[1]  ; 6.845 ; 6.747 ; 6.999 ; 7.277 ;
; wb_adr_i[4] ; wb_dat_o[2]  ; 7.122 ; 7.097 ; 7.273 ; 7.591 ;
; wb_adr_i[4] ; wb_dat_o[3]  ; 6.988 ; 6.901 ; 7.139 ; 7.433 ;
; wb_adr_i[4] ; wb_dat_o[4]  ; 7.755 ; 7.589 ; 7.756 ; 8.185 ;
; wb_adr_i[4] ; wb_dat_o[5]  ; 7.529 ; 7.492 ; 7.673 ; 7.942 ;
; wb_adr_i[4] ; wb_dat_o[6]  ; 7.812 ; 7.670 ; 7.821 ; 8.257 ;
; wb_adr_i[4] ; wb_dat_o[7]  ; 7.912 ; 7.732 ; 7.924 ; 8.321 ;
; wb_adr_i[4] ; wb_dat_o[8]  ; 6.984 ; 6.889 ; 7.133 ; 7.334 ;
; wb_adr_i[4] ; wb_dat_o[9]  ; 7.897 ; 7.935 ; 8.048 ; 8.377 ;
; wb_adr_i[4] ; wb_dat_o[10] ; 7.820 ; 7.746 ; 7.945 ; 8.278 ;
; wb_adr_i[4] ; wb_dat_o[11] ; 7.293 ; 7.384 ; 7.555 ; 7.771 ;
; wb_adr_i[4] ; wb_dat_o[12] ; 6.465 ; 6.293 ; 6.596 ; 6.822 ;
; wb_adr_i[4] ; wb_dat_o[13] ; 6.476 ; 6.305 ; 6.605 ; 6.835 ;
; wb_adr_i[4] ; wb_dat_o[14] ; 7.404 ; 7.360 ; 7.526 ; 7.894 ;
; wb_adr_i[4] ; wb_dat_o[15] ; 7.311 ; 7.416 ; 7.573 ; 7.803 ;
; wb_adr_i[4] ; wb_dat_o[16] ; 6.992 ; 6.893 ; 7.117 ; 7.424 ;
; wb_adr_i[4] ; wb_dat_o[17] ; 7.228 ; 7.301 ; 7.485 ; 7.691 ;
; wb_adr_i[4] ; wb_dat_o[18] ; 7.715 ; 7.860 ; 7.993 ; 8.243 ;
; wb_adr_i[4] ; wb_dat_o[19] ; 6.261 ; 6.076 ; 6.400 ; 6.598 ;
; wb_adr_i[4] ; wb_dat_o[20] ; 6.683 ; 6.548 ; 6.814 ; 7.077 ;
; wb_adr_i[4] ; wb_dat_o[21] ; 6.489 ; 6.452 ; 6.756 ; 6.836 ;
; wb_adr_i[4] ; wb_dat_o[22] ; 6.872 ; 6.848 ; 7.150 ; 7.266 ;
; wb_adr_i[4] ; wb_dat_o[23] ; 6.598 ; 6.450 ; 6.739 ; 6.971 ;
; wb_adr_i[4] ; wb_dat_o[24] ; 6.582 ; 6.459 ; 6.743 ; 6.975 ;
; wb_adr_i[4] ; wb_dat_o[25] ; 7.655 ; 7.654 ; 7.795 ; 8.176 ;
; wb_adr_i[4] ; wb_dat_o[26] ; 7.112 ; 6.987 ; 7.246 ; 7.531 ;
; wb_adr_i[4] ; wb_dat_o[27] ; 6.847 ; 6.763 ; 6.990 ; 7.302 ;
; wb_adr_i[4] ; wb_dat_o[28] ; 7.039 ; 6.897 ; 7.176 ; 7.439 ;
; wb_adr_i[4] ; wb_dat_o[29] ; 7.181 ; 7.191 ; 7.450 ; 7.596 ;
; wb_adr_i[4] ; wb_dat_o[30] ; 7.213 ; 7.133 ; 7.371 ; 7.570 ;
; wb_adr_i[4] ; wb_dat_o[31] ; 6.877 ; 6.658 ; 6.895 ; 7.239 ;
; wb_adr_i[5] ; wb_dat_o[0]  ; 6.802 ; 6.636 ; 6.942 ; 7.180 ;
; wb_adr_i[5] ; wb_dat_o[1]  ; 7.016 ; 6.918 ; 7.172 ; 7.450 ;
; wb_adr_i[5] ; wb_dat_o[2]  ; 7.293 ; 7.268 ; 7.446 ; 7.764 ;
; wb_adr_i[5] ; wb_dat_o[3]  ; 7.159 ; 7.072 ; 7.312 ; 7.606 ;
; wb_adr_i[5] ; wb_dat_o[4]  ; 7.926 ; 7.760 ; 7.929 ; 8.358 ;
; wb_adr_i[5] ; wb_dat_o[5]  ; 7.700 ; 7.663 ; 7.846 ; 8.115 ;
; wb_adr_i[5] ; wb_dat_o[6]  ; 7.983 ; 7.841 ; 7.994 ; 8.430 ;
; wb_adr_i[5] ; wb_dat_o[7]  ; 8.083 ; 7.903 ; 8.097 ; 8.494 ;
; wb_adr_i[5] ; wb_dat_o[8]  ; 7.155 ; 7.060 ; 7.306 ; 7.507 ;
; wb_adr_i[5] ; wb_dat_o[9]  ; 8.068 ; 8.106 ; 8.221 ; 8.550 ;
; wb_adr_i[5] ; wb_dat_o[10] ; 7.991 ; 7.917 ; 8.118 ; 8.451 ;
; wb_adr_i[5] ; wb_dat_o[11] ; 7.464 ; 7.555 ; 7.728 ; 7.944 ;
; wb_adr_i[5] ; wb_dat_o[12] ; 6.636 ; 6.464 ; 6.769 ; 6.995 ;
; wb_adr_i[5] ; wb_dat_o[13] ; 6.647 ; 6.476 ; 6.778 ; 7.008 ;
; wb_adr_i[5] ; wb_dat_o[14] ; 7.575 ; 7.531 ; 7.699 ; 8.067 ;
; wb_adr_i[5] ; wb_dat_o[15] ; 7.482 ; 7.587 ; 7.746 ; 7.976 ;
; wb_adr_i[5] ; wb_dat_o[16] ; 7.163 ; 7.064 ; 7.290 ; 7.597 ;
; wb_adr_i[5] ; wb_dat_o[17] ; 7.399 ; 7.472 ; 7.658 ; 7.864 ;
; wb_adr_i[5] ; wb_dat_o[18] ; 7.886 ; 8.031 ; 8.166 ; 8.416 ;
; wb_adr_i[5] ; wb_dat_o[19] ; 6.432 ; 6.247 ; 6.573 ; 6.771 ;
; wb_adr_i[5] ; wb_dat_o[20] ; 6.854 ; 6.719 ; 6.987 ; 7.250 ;
; wb_adr_i[5] ; wb_dat_o[21] ; 6.660 ; 6.623 ; 6.929 ; 7.009 ;
; wb_adr_i[5] ; wb_dat_o[22] ; 7.043 ; 7.019 ; 7.323 ; 7.439 ;
; wb_adr_i[5] ; wb_dat_o[23] ; 6.769 ; 6.621 ; 6.912 ; 7.144 ;
; wb_adr_i[5] ; wb_dat_o[24] ; 6.753 ; 6.630 ; 6.916 ; 7.148 ;
; wb_adr_i[5] ; wb_dat_o[25] ; 7.826 ; 7.825 ; 7.968 ; 8.349 ;
; wb_adr_i[5] ; wb_dat_o[26] ; 7.283 ; 7.158 ; 7.419 ; 7.704 ;
; wb_adr_i[5] ; wb_dat_o[27] ; 7.018 ; 6.934 ; 7.163 ; 7.475 ;
; wb_adr_i[5] ; wb_dat_o[28] ; 7.210 ; 7.068 ; 7.349 ; 7.612 ;
; wb_adr_i[5] ; wb_dat_o[29] ; 7.352 ; 7.362 ; 7.623 ; 7.769 ;
; wb_adr_i[5] ; wb_dat_o[30] ; 7.384 ; 7.304 ; 7.544 ; 7.743 ;
; wb_adr_i[5] ; wb_dat_o[31] ; 7.048 ; 6.829 ; 7.068 ; 7.412 ;
; wb_cyc_i    ; wb_dat_o[0]  ; 4.714 ; 4.674 ; 5.405 ; 5.365 ;
; wb_cyc_i    ; wb_dat_o[1]  ; 4.569 ; 4.529 ; 5.241 ; 5.201 ;
; wb_cyc_i    ; wb_dat_o[2]  ; 5.771 ; 5.731 ; 6.639 ; 6.599 ;
; wb_cyc_i    ; wb_dat_o[3]  ; 4.819 ; 4.779 ; 5.522 ; 5.482 ;
; wb_cyc_i    ; wb_dat_o[4]  ; 5.716 ; 5.676 ; 6.574 ; 6.534 ;
; wb_cyc_i    ; wb_dat_o[5]  ; 5.658 ; 5.618 ; 6.494 ; 6.454 ;
; wb_cyc_i    ; wb_dat_o[6]  ; 5.716 ; 5.676 ; 6.574 ; 6.534 ;
; wb_cyc_i    ; wb_dat_o[7]  ; 6.050 ; 5.968 ; 6.936 ; 6.854 ;
; wb_cyc_i    ; wb_dat_o[8]  ; 4.952 ; 4.912 ; 5.670 ; 5.630 ;
; wb_cyc_i    ; wb_dat_o[9]  ; 5.706 ; 5.666 ; 6.531 ; 6.491 ;
; wb_cyc_i    ; wb_dat_o[10] ; 5.751 ; 5.669 ; 6.602 ; 6.520 ;
; wb_cyc_i    ; wb_dat_o[11] ; 5.610 ; 5.570 ; 6.425 ; 6.385 ;
; wb_cyc_i    ; wb_dat_o[12] ; 4.956 ; 4.916 ; 5.676 ; 5.636 ;
; wb_cyc_i    ; wb_dat_o[13] ; 4.952 ; 4.912 ; 5.670 ; 5.630 ;
; wb_cyc_i    ; wb_dat_o[14] ; 5.706 ; 5.666 ; 6.531 ; 6.491 ;
; wb_cyc_i    ; wb_dat_o[15] ; 5.771 ; 5.731 ; 6.639 ; 6.599 ;
; wb_cyc_i    ; wb_dat_o[16] ; 5.524 ; 5.479 ; 6.334 ; 6.289 ;
; wb_cyc_i    ; wb_dat_o[17] ; 5.771 ; 5.731 ; 6.639 ; 6.599 ;
; wb_cyc_i    ; wb_dat_o[18] ; 5.706 ; 5.666 ; 6.532 ; 6.492 ;
; wb_cyc_i    ; wb_dat_o[19] ; 4.714 ; 4.674 ; 5.405 ; 5.365 ;
; wb_cyc_i    ; wb_dat_o[20] ; 5.081 ; 5.041 ; 5.822 ; 5.782 ;
; wb_cyc_i    ; wb_dat_o[21] ; 4.916 ; 4.871 ; 5.644 ; 5.599 ;
; wb_cyc_i    ; wb_dat_o[22] ; 5.551 ; 5.507 ; 6.279 ; 6.235 ;
; wb_cyc_i    ; wb_dat_o[23] ; 4.956 ; 4.916 ; 5.676 ; 5.636 ;
; wb_cyc_i    ; wb_dat_o[24] ; 5.081 ; 5.041 ; 5.822 ; 5.782 ;
; wb_cyc_i    ; wb_dat_o[25] ; 5.706 ; 5.666 ; 6.532 ; 6.492 ;
; wb_cyc_i    ; wb_dat_o[26] ; 5.909 ; 5.827 ; 6.781 ; 6.699 ;
; wb_cyc_i    ; wb_dat_o[27] ; 5.771 ; 5.731 ; 6.639 ; 6.599 ;
; wb_cyc_i    ; wb_dat_o[28] ; 6.050 ; 5.968 ; 6.936 ; 6.854 ;
; wb_cyc_i    ; wb_dat_o[29] ; 5.909 ; 5.827 ; 6.781 ; 6.699 ;
; wb_cyc_i    ; wb_dat_o[30] ; 5.897 ; 5.815 ; 6.766 ; 6.684 ;
; wb_cyc_i    ; wb_dat_o[31] ; 5.103 ; 5.063 ; 5.848 ; 5.808 ;
; wb_stb_i    ; wb_dat_o[0]  ; 4.864 ; 4.824 ; 5.579 ; 5.539 ;
; wb_stb_i    ; wb_dat_o[1]  ; 4.719 ; 4.679 ; 5.415 ; 5.375 ;
; wb_stb_i    ; wb_dat_o[2]  ; 5.921 ; 5.881 ; 6.813 ; 6.773 ;
; wb_stb_i    ; wb_dat_o[3]  ; 4.969 ; 4.929 ; 5.696 ; 5.656 ;
; wb_stb_i    ; wb_dat_o[4]  ; 5.866 ; 5.826 ; 6.748 ; 6.708 ;
; wb_stb_i    ; wb_dat_o[5]  ; 5.808 ; 5.768 ; 6.668 ; 6.628 ;
; wb_stb_i    ; wb_dat_o[6]  ; 5.866 ; 5.826 ; 6.748 ; 6.708 ;
; wb_stb_i    ; wb_dat_o[7]  ; 6.200 ; 6.118 ; 7.110 ; 7.028 ;
; wb_stb_i    ; wb_dat_o[8]  ; 5.102 ; 5.062 ; 5.844 ; 5.804 ;
; wb_stb_i    ; wb_dat_o[9]  ; 5.856 ; 5.816 ; 6.705 ; 6.665 ;
; wb_stb_i    ; wb_dat_o[10] ; 5.901 ; 5.819 ; 6.776 ; 6.694 ;
; wb_stb_i    ; wb_dat_o[11] ; 5.760 ; 5.720 ; 6.599 ; 6.559 ;
; wb_stb_i    ; wb_dat_o[12] ; 5.106 ; 5.066 ; 5.850 ; 5.810 ;
; wb_stb_i    ; wb_dat_o[13] ; 5.102 ; 5.062 ; 5.844 ; 5.804 ;
; wb_stb_i    ; wb_dat_o[14] ; 5.856 ; 5.816 ; 6.705 ; 6.665 ;
; wb_stb_i    ; wb_dat_o[15] ; 5.921 ; 5.881 ; 6.813 ; 6.773 ;
; wb_stb_i    ; wb_dat_o[16] ; 5.674 ; 5.629 ; 6.508 ; 6.463 ;
; wb_stb_i    ; wb_dat_o[17] ; 5.921 ; 5.881 ; 6.813 ; 6.773 ;
; wb_stb_i    ; wb_dat_o[18] ; 5.856 ; 5.816 ; 6.706 ; 6.666 ;
; wb_stb_i    ; wb_dat_o[19] ; 4.864 ; 4.824 ; 5.579 ; 5.539 ;
; wb_stb_i    ; wb_dat_o[20] ; 5.231 ; 5.191 ; 5.996 ; 5.956 ;
; wb_stb_i    ; wb_dat_o[21] ; 5.066 ; 5.021 ; 5.818 ; 5.773 ;
; wb_stb_i    ; wb_dat_o[22] ; 5.701 ; 5.657 ; 6.453 ; 6.409 ;
; wb_stb_i    ; wb_dat_o[23] ; 5.106 ; 5.066 ; 5.850 ; 5.810 ;
; wb_stb_i    ; wb_dat_o[24] ; 5.231 ; 5.191 ; 5.996 ; 5.956 ;
; wb_stb_i    ; wb_dat_o[25] ; 5.856 ; 5.816 ; 6.706 ; 6.666 ;
; wb_stb_i    ; wb_dat_o[26] ; 6.059 ; 5.977 ; 6.955 ; 6.873 ;
; wb_stb_i    ; wb_dat_o[27] ; 5.921 ; 5.881 ; 6.813 ; 6.773 ;
; wb_stb_i    ; wb_dat_o[28] ; 6.200 ; 6.118 ; 7.110 ; 7.028 ;
; wb_stb_i    ; wb_dat_o[29] ; 6.059 ; 5.977 ; 6.955 ; 6.873 ;
; wb_stb_i    ; wb_dat_o[30] ; 6.047 ; 5.965 ; 6.940 ; 6.858 ;
; wb_stb_i    ; wb_dat_o[31] ; 5.253 ; 5.213 ; 6.022 ; 5.982 ;
; wb_we_i     ; wb_dat_o[0]  ; 4.689 ; 4.649 ; 5.189 ; 5.149 ;
; wb_we_i     ; wb_dat_o[1]  ; 4.525 ; 4.485 ; 5.044 ; 5.004 ;
; wb_we_i     ; wb_dat_o[2]  ; 5.923 ; 5.883 ; 6.246 ; 6.206 ;
; wb_we_i     ; wb_dat_o[3]  ; 4.806 ; 4.766 ; 5.294 ; 5.254 ;
; wb_we_i     ; wb_dat_o[4]  ; 5.858 ; 5.818 ; 6.191 ; 6.151 ;
; wb_we_i     ; wb_dat_o[5]  ; 5.778 ; 5.738 ; 6.133 ; 6.093 ;
; wb_we_i     ; wb_dat_o[6]  ; 5.858 ; 5.818 ; 6.191 ; 6.151 ;
; wb_we_i     ; wb_dat_o[7]  ; 6.220 ; 6.138 ; 6.525 ; 6.443 ;
; wb_we_i     ; wb_dat_o[8]  ; 4.954 ; 4.914 ; 5.427 ; 5.387 ;
; wb_we_i     ; wb_dat_o[9]  ; 5.815 ; 5.775 ; 6.181 ; 6.141 ;
; wb_we_i     ; wb_dat_o[10] ; 5.886 ; 5.804 ; 6.226 ; 6.144 ;
; wb_we_i     ; wb_dat_o[11] ; 5.709 ; 5.669 ; 6.085 ; 6.045 ;
; wb_we_i     ; wb_dat_o[12] ; 4.960 ; 4.920 ; 5.431 ; 5.391 ;
; wb_we_i     ; wb_dat_o[13] ; 4.954 ; 4.914 ; 5.427 ; 5.387 ;
; wb_we_i     ; wb_dat_o[14] ; 5.815 ; 5.775 ; 6.181 ; 6.141 ;
; wb_we_i     ; wb_dat_o[15] ; 5.923 ; 5.883 ; 6.246 ; 6.206 ;
; wb_we_i     ; wb_dat_o[16] ; 5.618 ; 5.573 ; 5.999 ; 5.954 ;
; wb_we_i     ; wb_dat_o[17] ; 5.923 ; 5.883 ; 6.246 ; 6.206 ;
; wb_we_i     ; wb_dat_o[18] ; 5.816 ; 5.776 ; 6.181 ; 6.141 ;
; wb_we_i     ; wb_dat_o[19] ; 4.689 ; 4.649 ; 5.189 ; 5.149 ;
; wb_we_i     ; wb_dat_o[20] ; 5.106 ; 5.066 ; 5.556 ; 5.516 ;
; wb_we_i     ; wb_dat_o[21] ; 4.928 ; 4.883 ; 5.391 ; 5.346 ;
; wb_we_i     ; wb_dat_o[22] ; 5.563 ; 5.519 ; 6.026 ; 5.982 ;
; wb_we_i     ; wb_dat_o[23] ; 4.960 ; 4.920 ; 5.431 ; 5.391 ;
; wb_we_i     ; wb_dat_o[24] ; 5.106 ; 5.066 ; 5.556 ; 5.516 ;
; wb_we_i     ; wb_dat_o[25] ; 5.816 ; 5.776 ; 6.181 ; 6.141 ;
; wb_we_i     ; wb_dat_o[26] ; 6.065 ; 5.983 ; 6.384 ; 6.302 ;
; wb_we_i     ; wb_dat_o[27] ; 5.923 ; 5.883 ; 6.246 ; 6.206 ;
; wb_we_i     ; wb_dat_o[28] ; 6.220 ; 6.138 ; 6.525 ; 6.443 ;
; wb_we_i     ; wb_dat_o[29] ; 6.065 ; 5.983 ; 6.384 ; 6.302 ;
; wb_we_i     ; wb_dat_o[30] ; 6.050 ; 5.968 ; 6.372 ; 6.290 ;
; wb_we_i     ; wb_dat_o[31] ; 5.132 ; 5.092 ; 5.578 ; 5.538 ;
+-------------+--------------+-------+-------+-------+-------+


+------------------------------------------------------------+
; Minimum Propagation Delay                                  ;
+-------------+--------------+-------+-------+-------+-------+
; Input Port  ; Output Port  ; RR    ; RF    ; FR    ; FF    ;
+-------------+--------------+-------+-------+-------+-------+
; wb_adr_i[0] ; wb_dat_o[0]  ; 4.925 ; 5.192 ; 5.681 ; 5.606 ;
; wb_adr_i[0] ; wb_dat_o[1]  ; 4.817 ; 5.417 ; 5.874 ; 5.484 ;
; wb_adr_i[0] ; wb_dat_o[2]  ; 5.566 ; 5.753 ; 6.154 ; 6.294 ;
; wb_adr_i[0] ; wb_dat_o[3]  ; 5.185 ; 5.560 ; 6.008 ; 5.886 ;
; wb_adr_i[0] ; wb_dat_o[4]  ; 5.518 ; 6.271 ; 6.630 ; 6.247 ;
; wb_adr_i[0] ; wb_dat_o[5]  ; 5.045 ; 6.130 ; 6.520 ; 5.732 ;
; wb_adr_i[0] ; wb_dat_o[6]  ; 5.401 ; 6.304 ; 6.663 ; 6.137 ;
; wb_adr_i[0] ; wb_dat_o[7]  ; 5.888 ; 6.362 ; 6.757 ; 6.634 ;
; wb_adr_i[0] ; wb_dat_o[8]  ; 5.329 ; 5.594 ; 6.030 ; 6.069 ;
; wb_adr_i[0] ; wb_dat_o[9]  ; 6.450 ; 6.601 ; 6.910 ; 7.111 ;
; wb_adr_i[0] ; wb_dat_o[10] ; 6.292 ; 6.420 ; 6.811 ; 6.946 ;
; wb_adr_i[0] ; wb_dat_o[11] ; 5.946 ; 6.030 ; 6.437 ; 6.616 ;
; wb_adr_i[0] ; wb_dat_o[12] ; 4.995 ; 5.025 ; 5.514 ; 5.551 ;
; wb_adr_i[0] ; wb_dat_o[13] ; 5.004 ; 5.036 ; 5.523 ; 5.562 ;
; wb_adr_i[0] ; wb_dat_o[14] ; 5.887 ; 6.048 ; 6.406 ; 6.574 ;
; wb_adr_i[0] ; wb_dat_o[15] ; 5.963 ; 6.061 ; 6.454 ; 6.648 ;
; wb_adr_i[0] ; wb_dat_o[16] ; 5.493 ; 5.598 ; 6.012 ; 6.124 ;
; wb_adr_i[0] ; wb_dat_o[17] ; 5.880 ; 5.953 ; 6.370 ; 6.536 ;
; wb_adr_i[0] ; wb_dat_o[18] ; 6.322 ; 6.466 ; 6.857 ; 7.036 ;
; wb_adr_i[0] ; wb_dat_o[19] ; 4.808 ; 4.817 ; 5.327 ; 5.343 ;
; wb_adr_i[0] ; wb_dat_o[20] ; 5.204 ; 5.270 ; 5.724 ; 5.797 ;
; wb_adr_i[0] ; wb_dat_o[21] ; 5.176 ; 5.129 ; 5.667 ; 5.719 ;
; wb_adr_i[0] ; wb_dat_o[22] ; 5.547 ; 5.580 ; 6.069 ; 6.109 ;
; wb_adr_i[0] ; wb_dat_o[23] ; 5.133 ; 5.176 ; 5.652 ; 5.702 ;
; wb_adr_i[0] ; wb_dat_o[24] ; 5.134 ; 5.184 ; 5.656 ; 5.713 ;
; wb_adr_i[0] ; wb_dat_o[25] ; 6.147 ; 6.332 ; 6.666 ; 6.858 ;
; wb_adr_i[0] ; wb_dat_o[26] ; 5.607 ; 5.692 ; 6.141 ; 6.233 ;
; wb_adr_i[0] ; wb_dat_o[27] ; 5.358 ; 5.475 ; 5.892 ; 6.016 ;
; wb_adr_i[0] ; wb_dat_o[28] ; 5.540 ; 5.605 ; 6.074 ; 6.146 ;
; wb_adr_i[0] ; wb_dat_o[29] ; 5.827 ; 5.863 ; 6.337 ; 6.451 ;
; wb_adr_i[0] ; wb_dat_o[30] ; 5.759 ; 5.822 ; 6.262 ; 6.305 ;
; wb_adr_i[0] ; wb_dat_o[31] ; 5.311 ; 5.376 ; 5.801 ; 5.873 ;
; wb_adr_i[1] ; wb_dat_o[0]  ; 4.978 ; 5.189 ; 5.828 ; 5.710 ;
; wb_adr_i[1] ; wb_dat_o[1]  ; 4.870 ; 5.419 ; 6.016 ; 5.588 ;
; wb_adr_i[1] ; wb_dat_o[2]  ; 5.615 ; 5.755 ; 6.296 ; 6.429 ;
; wb_adr_i[1] ; wb_dat_o[3]  ; 5.238 ; 5.562 ; 6.150 ; 5.990 ;
; wb_adr_i[1] ; wb_dat_o[4]  ; 5.571 ; 6.232 ; 6.813 ; 6.351 ;
; wb_adr_i[1] ; wb_dat_o[5]  ; 5.098 ; 6.102 ; 6.735 ; 5.836 ;
; wb_adr_i[1] ; wb_dat_o[6]  ; 5.454 ; 6.263 ; 6.848 ; 6.241 ;
; wb_adr_i[1] ; wb_dat_o[7]  ; 5.941 ; 6.322 ; 6.941 ; 6.738 ;
; wb_adr_i[1] ; wb_dat_o[8]  ; 5.382 ; 5.530 ; 6.201 ; 6.173 ;
; wb_adr_i[1] ; wb_dat_o[9]  ; 6.371 ; 6.572 ; 7.126 ; 7.277 ;
; wb_adr_i[1] ; wb_dat_o[10] ; 6.272 ; 6.407 ; 6.968 ; 7.096 ;
; wb_adr_i[1] ; wb_dat_o[11] ; 5.898 ; 6.077 ; 6.622 ; 6.748 ;
; wb_adr_i[1] ; wb_dat_o[12] ; 4.975 ; 5.012 ; 5.671 ; 5.701 ;
; wb_adr_i[1] ; wb_dat_o[13] ; 4.984 ; 5.023 ; 5.680 ; 5.712 ;
; wb_adr_i[1] ; wb_dat_o[14] ; 5.867 ; 6.035 ; 6.563 ; 6.724 ;
; wb_adr_i[1] ; wb_dat_o[15] ; 5.915 ; 6.109 ; 6.639 ; 6.780 ;
; wb_adr_i[1] ; wb_dat_o[16] ; 5.473 ; 5.585 ; 6.169 ; 6.274 ;
; wb_adr_i[1] ; wb_dat_o[17] ; 5.831 ; 5.997 ; 6.556 ; 6.669 ;
; wb_adr_i[1] ; wb_dat_o[18] ; 6.318 ; 6.497 ; 6.998 ; 7.206 ;
; wb_adr_i[1] ; wb_dat_o[19] ; 4.788 ; 4.804 ; 5.484 ; 5.493 ;
; wb_adr_i[1] ; wb_dat_o[20] ; 5.185 ; 5.258 ; 5.880 ; 5.946 ;
; wb_adr_i[1] ; wb_dat_o[21] ; 5.128 ; 5.180 ; 5.852 ; 5.851 ;
; wb_adr_i[1] ; wb_dat_o[22] ; 5.530 ; 5.570 ; 6.223 ; 6.256 ;
; wb_adr_i[1] ; wb_dat_o[23] ; 5.113 ; 5.163 ; 5.809 ; 5.852 ;
; wb_adr_i[1] ; wb_dat_o[24] ; 5.117 ; 5.174 ; 5.810 ; 5.860 ;
; wb_adr_i[1] ; wb_dat_o[25] ; 6.127 ; 6.319 ; 6.823 ; 7.008 ;
; wb_adr_i[1] ; wb_dat_o[26] ; 5.602 ; 5.694 ; 6.283 ; 6.368 ;
; wb_adr_i[1] ; wb_dat_o[27] ; 5.353 ; 5.477 ; 6.034 ; 6.151 ;
; wb_adr_i[1] ; wb_dat_o[28] ; 5.535 ; 5.607 ; 6.216 ; 6.281 ;
; wb_adr_i[1] ; wb_dat_o[29] ; 5.798 ; 5.912 ; 6.503 ; 6.564 ;
; wb_adr_i[1] ; wb_dat_o[30] ; 5.723 ; 5.766 ; 6.435 ; 6.509 ;
; wb_adr_i[1] ; wb_dat_o[31] ; 5.262 ; 5.334 ; 5.987 ; 6.052 ;
; wb_adr_i[2] ; wb_dat_o[0]  ; 6.208 ; 6.089 ; 6.375 ; 6.586 ;
; wb_adr_i[2] ; wb_dat_o[1]  ; 6.396 ; 5.967 ; 6.267 ; 6.816 ;
; wb_adr_i[2] ; wb_dat_o[2]  ; 6.676 ; 6.809 ; 7.012 ; 7.152 ;
; wb_adr_i[2] ; wb_dat_o[3]  ; 6.530 ; 6.369 ; 6.635 ; 6.959 ;
; wb_adr_i[2] ; wb_dat_o[4]  ; 7.193 ; 6.730 ; 6.968 ; 7.629 ;
; wb_adr_i[2] ; wb_dat_o[5]  ; 7.115 ; 6.215 ; 6.495 ; 7.499 ;
; wb_adr_i[2] ; wb_dat_o[6]  ; 7.228 ; 6.620 ; 6.851 ; 7.660 ;
; wb_adr_i[2] ; wb_dat_o[7]  ; 7.321 ; 7.117 ; 7.338 ; 7.719 ;
; wb_adr_i[2] ; wb_dat_o[8]  ; 6.581 ; 6.552 ; 6.779 ; 6.927 ;
; wb_adr_i[2] ; wb_dat_o[9]  ; 7.506 ; 7.657 ; 7.768 ; 7.969 ;
; wb_adr_i[2] ; wb_dat_o[10] ; 7.348 ; 7.476 ; 7.669 ; 7.804 ;
; wb_adr_i[2] ; wb_dat_o[11] ; 7.002 ; 7.128 ; 7.295 ; 7.474 ;
; wb_adr_i[2] ; wb_dat_o[12] ; 6.051 ; 6.081 ; 6.372 ; 6.409 ;
; wb_adr_i[2] ; wb_dat_o[13] ; 6.060 ; 6.092 ; 6.381 ; 6.420 ;
; wb_adr_i[2] ; wb_dat_o[14] ; 6.943 ; 7.104 ; 7.264 ; 7.432 ;
; wb_adr_i[2] ; wb_dat_o[15] ; 7.019 ; 7.160 ; 7.312 ; 7.506 ;
; wb_adr_i[2] ; wb_dat_o[16] ; 6.549 ; 6.654 ; 6.870 ; 6.982 ;
; wb_adr_i[2] ; wb_dat_o[17] ; 6.936 ; 7.049 ; 7.228 ; 7.394 ;
; wb_adr_i[2] ; wb_dat_o[18] ; 7.378 ; 7.586 ; 7.715 ; 7.894 ;
; wb_adr_i[2] ; wb_dat_o[19] ; 5.864 ; 5.873 ; 6.185 ; 6.201 ;
; wb_adr_i[2] ; wb_dat_o[20] ; 6.260 ; 6.326 ; 6.582 ; 6.655 ;
; wb_adr_i[2] ; wb_dat_o[21] ; 6.232 ; 6.231 ; 6.525 ; 6.577 ;
; wb_adr_i[2] ; wb_dat_o[22] ; 6.603 ; 6.636 ; 6.927 ; 6.967 ;
; wb_adr_i[2] ; wb_dat_o[23] ; 6.189 ; 6.232 ; 6.510 ; 6.560 ;
; wb_adr_i[2] ; wb_dat_o[24] ; 6.190 ; 6.240 ; 6.514 ; 6.571 ;
; wb_adr_i[2] ; wb_dat_o[25] ; 7.203 ; 7.388 ; 7.524 ; 7.716 ;
; wb_adr_i[2] ; wb_dat_o[26] ; 6.663 ; 6.748 ; 6.999 ; 7.091 ;
; wb_adr_i[2] ; wb_dat_o[27] ; 6.414 ; 6.531 ; 6.750 ; 6.874 ;
; wb_adr_i[2] ; wb_dat_o[28] ; 6.596 ; 6.661 ; 6.932 ; 7.004 ;
; wb_adr_i[2] ; wb_dat_o[29] ; 6.883 ; 6.944 ; 7.195 ; 7.309 ;
; wb_adr_i[2] ; wb_dat_o[30] ; 6.815 ; 6.889 ; 7.120 ; 7.163 ;
; wb_adr_i[2] ; wb_dat_o[31] ; 6.367 ; 6.432 ; 6.659 ; 6.731 ;
; wb_adr_i[3] ; wb_dat_o[0]  ; 6.119 ; 6.000 ; 6.273 ; 6.484 ;
; wb_adr_i[3] ; wb_dat_o[1]  ; 6.307 ; 5.878 ; 6.165 ; 6.714 ;
; wb_adr_i[3] ; wb_dat_o[2]  ; 6.587 ; 6.720 ; 6.910 ; 7.050 ;
; wb_adr_i[3] ; wb_dat_o[3]  ; 6.441 ; 6.280 ; 6.533 ; 6.857 ;
; wb_adr_i[3] ; wb_dat_o[4]  ; 7.104 ; 6.641 ; 6.866 ; 7.527 ;
; wb_adr_i[3] ; wb_dat_o[5]  ; 7.026 ; 6.126 ; 6.393 ; 7.397 ;
; wb_adr_i[3] ; wb_dat_o[6]  ; 7.139 ; 6.531 ; 6.749 ; 7.558 ;
; wb_adr_i[3] ; wb_dat_o[7]  ; 7.232 ; 7.028 ; 7.236 ; 7.617 ;
; wb_adr_i[3] ; wb_dat_o[8]  ; 6.492 ; 6.463 ; 6.677 ; 6.825 ;
; wb_adr_i[3] ; wb_dat_o[9]  ; 7.417 ; 7.568 ; 7.666 ; 7.867 ;
; wb_adr_i[3] ; wb_dat_o[10] ; 7.259 ; 7.387 ; 7.567 ; 7.702 ;
; wb_adr_i[3] ; wb_dat_o[11] ; 6.913 ; 7.039 ; 7.193 ; 7.372 ;
; wb_adr_i[3] ; wb_dat_o[12] ; 5.962 ; 5.992 ; 6.270 ; 6.307 ;
; wb_adr_i[3] ; wb_dat_o[13] ; 5.971 ; 6.003 ; 6.279 ; 6.318 ;
; wb_adr_i[3] ; wb_dat_o[14] ; 6.854 ; 7.015 ; 7.162 ; 7.330 ;
; wb_adr_i[3] ; wb_dat_o[15] ; 6.930 ; 7.071 ; 7.210 ; 7.404 ;
; wb_adr_i[3] ; wb_dat_o[16] ; 6.460 ; 6.565 ; 6.768 ; 6.880 ;
; wb_adr_i[3] ; wb_dat_o[17] ; 6.847 ; 6.960 ; 7.126 ; 7.292 ;
; wb_adr_i[3] ; wb_dat_o[18] ; 7.289 ; 7.497 ; 7.613 ; 7.792 ;
; wb_adr_i[3] ; wb_dat_o[19] ; 5.775 ; 5.784 ; 6.083 ; 6.099 ;
; wb_adr_i[3] ; wb_dat_o[20] ; 6.171 ; 6.237 ; 6.480 ; 6.553 ;
; wb_adr_i[3] ; wb_dat_o[21] ; 6.143 ; 6.142 ; 6.423 ; 6.475 ;
; wb_adr_i[3] ; wb_dat_o[22] ; 6.514 ; 6.547 ; 6.825 ; 6.865 ;
; wb_adr_i[3] ; wb_dat_o[23] ; 6.100 ; 6.143 ; 6.408 ; 6.458 ;
; wb_adr_i[3] ; wb_dat_o[24] ; 6.101 ; 6.151 ; 6.412 ; 6.469 ;
; wb_adr_i[3] ; wb_dat_o[25] ; 7.114 ; 7.299 ; 7.422 ; 7.614 ;
; wb_adr_i[3] ; wb_dat_o[26] ; 6.574 ; 6.659 ; 6.897 ; 6.989 ;
; wb_adr_i[3] ; wb_dat_o[27] ; 6.325 ; 6.442 ; 6.648 ; 6.772 ;
; wb_adr_i[3] ; wb_dat_o[28] ; 6.507 ; 6.572 ; 6.830 ; 6.902 ;
; wb_adr_i[3] ; wb_dat_o[29] ; 6.794 ; 6.855 ; 7.093 ; 7.207 ;
; wb_adr_i[3] ; wb_dat_o[30] ; 6.726 ; 6.800 ; 7.018 ; 7.061 ;
; wb_adr_i[3] ; wb_dat_o[31] ; 6.278 ; 6.343 ; 6.557 ; 6.629 ;
; wb_adr_i[4] ; wb_dat_o[0]  ; 6.195 ; 6.076 ; 6.375 ; 6.586 ;
; wb_adr_i[4] ; wb_dat_o[1]  ; 6.383 ; 5.954 ; 6.267 ; 6.816 ;
; wb_adr_i[4] ; wb_dat_o[2]  ; 6.663 ; 6.796 ; 7.012 ; 7.152 ;
; wb_adr_i[4] ; wb_dat_o[3]  ; 6.517 ; 6.356 ; 6.635 ; 6.959 ;
; wb_adr_i[4] ; wb_dat_o[4]  ; 7.180 ; 6.717 ; 6.968 ; 7.629 ;
; wb_adr_i[4] ; wb_dat_o[5]  ; 7.102 ; 6.202 ; 6.495 ; 7.499 ;
; wb_adr_i[4] ; wb_dat_o[6]  ; 7.215 ; 6.607 ; 6.851 ; 7.660 ;
; wb_adr_i[4] ; wb_dat_o[7]  ; 7.308 ; 7.104 ; 7.338 ; 7.719 ;
; wb_adr_i[4] ; wb_dat_o[8]  ; 6.568 ; 6.539 ; 6.779 ; 6.927 ;
; wb_adr_i[4] ; wb_dat_o[9]  ; 7.493 ; 7.644 ; 7.768 ; 7.969 ;
; wb_adr_i[4] ; wb_dat_o[10] ; 7.335 ; 7.463 ; 7.669 ; 7.804 ;
; wb_adr_i[4] ; wb_dat_o[11] ; 6.989 ; 7.115 ; 7.295 ; 7.474 ;
; wb_adr_i[4] ; wb_dat_o[12] ; 6.038 ; 6.068 ; 6.372 ; 6.409 ;
; wb_adr_i[4] ; wb_dat_o[13] ; 6.047 ; 6.079 ; 6.381 ; 6.420 ;
; wb_adr_i[4] ; wb_dat_o[14] ; 6.930 ; 7.091 ; 7.264 ; 7.432 ;
; wb_adr_i[4] ; wb_dat_o[15] ; 7.006 ; 7.147 ; 7.312 ; 7.506 ;
; wb_adr_i[4] ; wb_dat_o[16] ; 6.536 ; 6.641 ; 6.870 ; 6.982 ;
; wb_adr_i[4] ; wb_dat_o[17] ; 6.923 ; 7.036 ; 7.228 ; 7.394 ;
; wb_adr_i[4] ; wb_dat_o[18] ; 7.365 ; 7.573 ; 7.715 ; 7.894 ;
; wb_adr_i[4] ; wb_dat_o[19] ; 5.851 ; 5.860 ; 6.185 ; 6.201 ;
; wb_adr_i[4] ; wb_dat_o[20] ; 6.247 ; 6.313 ; 6.582 ; 6.655 ;
; wb_adr_i[4] ; wb_dat_o[21] ; 6.219 ; 6.218 ; 6.525 ; 6.577 ;
; wb_adr_i[4] ; wb_dat_o[22] ; 6.590 ; 6.623 ; 6.927 ; 6.967 ;
; wb_adr_i[4] ; wb_dat_o[23] ; 6.176 ; 6.219 ; 6.510 ; 6.560 ;
; wb_adr_i[4] ; wb_dat_o[24] ; 6.177 ; 6.227 ; 6.514 ; 6.571 ;
; wb_adr_i[4] ; wb_dat_o[25] ; 7.190 ; 7.375 ; 7.524 ; 7.716 ;
; wb_adr_i[4] ; wb_dat_o[26] ; 6.650 ; 6.735 ; 6.999 ; 7.091 ;
; wb_adr_i[4] ; wb_dat_o[27] ; 6.401 ; 6.518 ; 6.750 ; 6.874 ;
; wb_adr_i[4] ; wb_dat_o[28] ; 6.583 ; 6.648 ; 6.932 ; 7.004 ;
; wb_adr_i[4] ; wb_dat_o[29] ; 6.870 ; 6.931 ; 7.195 ; 7.309 ;
; wb_adr_i[4] ; wb_dat_o[30] ; 6.802 ; 6.876 ; 7.120 ; 7.163 ;
; wb_adr_i[4] ; wb_dat_o[31] ; 6.354 ; 6.419 ; 6.659 ; 6.731 ;
; wb_adr_i[5] ; wb_dat_o[0]  ; 6.361 ; 6.242 ; 6.543 ; 6.754 ;
; wb_adr_i[5] ; wb_dat_o[1]  ; 6.549 ; 6.120 ; 6.435 ; 6.984 ;
; wb_adr_i[5] ; wb_dat_o[2]  ; 6.829 ; 6.962 ; 7.180 ; 7.320 ;
; wb_adr_i[5] ; wb_dat_o[3]  ; 6.683 ; 6.522 ; 6.803 ; 7.127 ;
; wb_adr_i[5] ; wb_dat_o[4]  ; 7.346 ; 6.883 ; 7.136 ; 7.797 ;
; wb_adr_i[5] ; wb_dat_o[5]  ; 7.268 ; 6.368 ; 6.663 ; 7.667 ;
; wb_adr_i[5] ; wb_dat_o[6]  ; 7.381 ; 6.773 ; 7.019 ; 7.828 ;
; wb_adr_i[5] ; wb_dat_o[7]  ; 7.474 ; 7.270 ; 7.506 ; 7.887 ;
; wb_adr_i[5] ; wb_dat_o[8]  ; 6.734 ; 6.705 ; 6.947 ; 7.095 ;
; wb_adr_i[5] ; wb_dat_o[9]  ; 7.659 ; 7.810 ; 7.936 ; 8.137 ;
; wb_adr_i[5] ; wb_dat_o[10] ; 7.501 ; 7.629 ; 7.837 ; 7.972 ;
; wb_adr_i[5] ; wb_dat_o[11] ; 7.155 ; 7.281 ; 7.463 ; 7.642 ;
; wb_adr_i[5] ; wb_dat_o[12] ; 6.204 ; 6.234 ; 6.540 ; 6.577 ;
; wb_adr_i[5] ; wb_dat_o[13] ; 6.213 ; 6.245 ; 6.549 ; 6.588 ;
; wb_adr_i[5] ; wb_dat_o[14] ; 7.096 ; 7.257 ; 7.432 ; 7.600 ;
; wb_adr_i[5] ; wb_dat_o[15] ; 7.172 ; 7.313 ; 7.480 ; 7.674 ;
; wb_adr_i[5] ; wb_dat_o[16] ; 6.702 ; 6.807 ; 7.038 ; 7.150 ;
; wb_adr_i[5] ; wb_dat_o[17] ; 7.089 ; 7.202 ; 7.396 ; 7.562 ;
; wb_adr_i[5] ; wb_dat_o[18] ; 7.531 ; 7.739 ; 7.883 ; 8.062 ;
; wb_adr_i[5] ; wb_dat_o[19] ; 6.017 ; 6.026 ; 6.353 ; 6.369 ;
; wb_adr_i[5] ; wb_dat_o[20] ; 6.413 ; 6.479 ; 6.750 ; 6.823 ;
; wb_adr_i[5] ; wb_dat_o[21] ; 6.385 ; 6.384 ; 6.693 ; 6.745 ;
; wb_adr_i[5] ; wb_dat_o[22] ; 6.756 ; 6.789 ; 7.095 ; 7.135 ;
; wb_adr_i[5] ; wb_dat_o[23] ; 6.342 ; 6.385 ; 6.678 ; 6.728 ;
; wb_adr_i[5] ; wb_dat_o[24] ; 6.343 ; 6.393 ; 6.682 ; 6.739 ;
; wb_adr_i[5] ; wb_dat_o[25] ; 7.356 ; 7.541 ; 7.692 ; 7.884 ;
; wb_adr_i[5] ; wb_dat_o[26] ; 6.816 ; 6.901 ; 7.167 ; 7.259 ;
; wb_adr_i[5] ; wb_dat_o[27] ; 6.567 ; 6.684 ; 6.918 ; 7.042 ;
; wb_adr_i[5] ; wb_dat_o[28] ; 6.749 ; 6.814 ; 7.100 ; 7.172 ;
; wb_adr_i[5] ; wb_dat_o[29] ; 7.036 ; 7.097 ; 7.363 ; 7.477 ;
; wb_adr_i[5] ; wb_dat_o[30] ; 6.968 ; 7.042 ; 7.288 ; 7.331 ;
; wb_adr_i[5] ; wb_dat_o[31] ; 6.520 ; 6.585 ; 6.827 ; 6.899 ;
; wb_cyc_i    ; wb_dat_o[0]  ; 4.535 ; 4.495 ; 5.215 ; 5.175 ;
; wb_cyc_i    ; wb_dat_o[1]  ; 4.396 ; 4.356 ; 5.058 ; 5.018 ;
; wb_cyc_i    ; wb_dat_o[2]  ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
; wb_cyc_i    ; wb_dat_o[3]  ; 4.636 ; 4.596 ; 5.328 ; 5.288 ;
; wb_cyc_i    ; wb_dat_o[4]  ; 5.497 ; 5.457 ; 6.338 ; 6.298 ;
; wb_cyc_i    ; wb_dat_o[5]  ; 5.441 ; 5.401 ; 6.261 ; 6.221 ;
; wb_cyc_i    ; wb_dat_o[6]  ; 5.497 ; 5.457 ; 6.338 ; 6.298 ;
; wb_cyc_i    ; wb_dat_o[7]  ; 5.835 ; 5.753 ; 6.703 ; 6.621 ;
; wb_cyc_i    ; wb_dat_o[8]  ; 4.763 ; 4.723 ; 5.470 ; 5.430 ;
; wb_cyc_i    ; wb_dat_o[9]  ; 5.487 ; 5.447 ; 6.296 ; 6.256 ;
; wb_cyc_i    ; wb_dat_o[10] ; 5.548 ; 5.466 ; 6.383 ; 6.301 ;
; wb_cyc_i    ; wb_dat_o[11] ; 5.395 ; 5.355 ; 6.195 ; 6.155 ;
; wb_cyc_i    ; wb_dat_o[12] ; 4.767 ; 4.727 ; 5.475 ; 5.435 ;
; wb_cyc_i    ; wb_dat_o[13] ; 4.763 ; 4.723 ; 5.470 ; 5.430 ;
; wb_cyc_i    ; wb_dat_o[14] ; 5.487 ; 5.447 ; 6.296 ; 6.256 ;
; wb_cyc_i    ; wb_dat_o[15] ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
; wb_cyc_i    ; wb_dat_o[16] ; 5.310 ; 5.265 ; 6.105 ; 6.060 ;
; wb_cyc_i    ; wb_dat_o[17] ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
; wb_cyc_i    ; wb_dat_o[18] ; 5.487 ; 5.447 ; 6.297 ; 6.257 ;
; wb_cyc_i    ; wb_dat_o[19] ; 4.535 ; 4.495 ; 5.215 ; 5.175 ;
; wb_cyc_i    ; wb_dat_o[20] ; 4.887 ; 4.847 ; 5.616 ; 5.576 ;
; wb_cyc_i    ; wb_dat_o[21] ; 4.726 ; 4.681 ; 5.443 ; 5.398 ;
; wb_cyc_i    ; wb_dat_o[22] ; 5.361 ; 5.317 ; 6.078 ; 6.034 ;
; wb_cyc_i    ; wb_dat_o[23] ; 4.767 ; 4.727 ; 5.475 ; 5.435 ;
; wb_cyc_i    ; wb_dat_o[24] ; 4.887 ; 4.847 ; 5.616 ; 5.576 ;
; wb_cyc_i    ; wb_dat_o[25] ; 5.487 ; 5.447 ; 6.297 ; 6.257 ;
; wb_cyc_i    ; wb_dat_o[26] ; 5.701 ; 5.619 ; 6.554 ; 6.472 ;
; wb_cyc_i    ; wb_dat_o[27] ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
; wb_cyc_i    ; wb_dat_o[28] ; 5.835 ; 5.753 ; 6.703 ; 6.621 ;
; wb_cyc_i    ; wb_dat_o[29] ; 5.701 ; 5.619 ; 6.554 ; 6.472 ;
; wb_cyc_i    ; wb_dat_o[30] ; 5.689 ; 5.607 ; 6.540 ; 6.458 ;
; wb_cyc_i    ; wb_dat_o[31] ; 4.909 ; 4.869 ; 5.640 ; 5.600 ;
; wb_stb_i    ; wb_dat_o[0]  ; 4.676 ; 4.636 ; 5.365 ; 5.325 ;
; wb_stb_i    ; wb_dat_o[1]  ; 4.537 ; 4.497 ; 5.208 ; 5.168 ;
; wb_stb_i    ; wb_dat_o[2]  ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
; wb_stb_i    ; wb_dat_o[3]  ; 4.777 ; 4.737 ; 5.478 ; 5.438 ;
; wb_stb_i    ; wb_dat_o[4]  ; 5.638 ; 5.598 ; 6.488 ; 6.448 ;
; wb_stb_i    ; wb_dat_o[5]  ; 5.582 ; 5.542 ; 6.411 ; 6.371 ;
; wb_stb_i    ; wb_dat_o[6]  ; 5.638 ; 5.598 ; 6.488 ; 6.448 ;
; wb_stb_i    ; wb_dat_o[7]  ; 5.976 ; 5.894 ; 6.853 ; 6.771 ;
; wb_stb_i    ; wb_dat_o[8]  ; 4.904 ; 4.864 ; 5.620 ; 5.580 ;
; wb_stb_i    ; wb_dat_o[9]  ; 5.628 ; 5.588 ; 6.446 ; 6.406 ;
; wb_stb_i    ; wb_dat_o[10] ; 5.689 ; 5.607 ; 6.533 ; 6.451 ;
; wb_stb_i    ; wb_dat_o[11] ; 5.536 ; 5.496 ; 6.345 ; 6.305 ;
; wb_stb_i    ; wb_dat_o[12] ; 4.908 ; 4.868 ; 5.625 ; 5.585 ;
; wb_stb_i    ; wb_dat_o[13] ; 4.904 ; 4.864 ; 5.620 ; 5.580 ;
; wb_stb_i    ; wb_dat_o[14] ; 5.628 ; 5.588 ; 6.446 ; 6.406 ;
; wb_stb_i    ; wb_dat_o[15] ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
; wb_stb_i    ; wb_dat_o[16] ; 5.451 ; 5.406 ; 6.255 ; 6.210 ;
; wb_stb_i    ; wb_dat_o[17] ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
; wb_stb_i    ; wb_dat_o[18] ; 5.628 ; 5.588 ; 6.447 ; 6.407 ;
; wb_stb_i    ; wb_dat_o[19] ; 4.676 ; 4.636 ; 5.365 ; 5.325 ;
; wb_stb_i    ; wb_dat_o[20] ; 5.028 ; 4.988 ; 5.766 ; 5.726 ;
; wb_stb_i    ; wb_dat_o[21] ; 4.867 ; 4.822 ; 5.593 ; 5.548 ;
; wb_stb_i    ; wb_dat_o[22] ; 5.502 ; 5.458 ; 6.228 ; 6.184 ;
; wb_stb_i    ; wb_dat_o[23] ; 4.908 ; 4.868 ; 5.625 ; 5.585 ;
; wb_stb_i    ; wb_dat_o[24] ; 5.028 ; 4.988 ; 5.766 ; 5.726 ;
; wb_stb_i    ; wb_dat_o[25] ; 5.628 ; 5.588 ; 6.447 ; 6.407 ;
; wb_stb_i    ; wb_dat_o[26] ; 5.842 ; 5.760 ; 6.704 ; 6.622 ;
; wb_stb_i    ; wb_dat_o[27] ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
; wb_stb_i    ; wb_dat_o[28] ; 5.976 ; 5.894 ; 6.853 ; 6.771 ;
; wb_stb_i    ; wb_dat_o[29] ; 5.842 ; 5.760 ; 6.704 ; 6.622 ;
; wb_stb_i    ; wb_dat_o[30] ; 5.830 ; 5.748 ; 6.690 ; 6.608 ;
; wb_stb_i    ; wb_dat_o[31] ; 5.050 ; 5.010 ; 5.790 ; 5.750 ;
; wb_we_i     ; wb_dat_o[0]  ; 4.513 ; 4.473 ; 5.008 ; 4.968 ;
; wb_we_i     ; wb_dat_o[1]  ; 4.356 ; 4.316 ; 4.869 ; 4.829 ;
; wb_we_i     ; wb_dat_o[2]  ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
; wb_we_i     ; wb_dat_o[3]  ; 4.626 ; 4.586 ; 5.109 ; 5.069 ;
; wb_we_i     ; wb_dat_o[4]  ; 5.636 ; 5.596 ; 5.970 ; 5.930 ;
; wb_we_i     ; wb_dat_o[5]  ; 5.559 ; 5.519 ; 5.914 ; 5.874 ;
; wb_we_i     ; wb_dat_o[6]  ; 5.636 ; 5.596 ; 5.970 ; 5.930 ;
; wb_we_i     ; wb_dat_o[7]  ; 6.001 ; 5.919 ; 6.308 ; 6.226 ;
; wb_we_i     ; wb_dat_o[8]  ; 4.768 ; 4.728 ; 5.236 ; 5.196 ;
; wb_we_i     ; wb_dat_o[9]  ; 5.594 ; 5.554 ; 5.960 ; 5.920 ;
; wb_we_i     ; wb_dat_o[10] ; 5.681 ; 5.599 ; 6.021 ; 5.939 ;
; wb_we_i     ; wb_dat_o[11] ; 5.493 ; 5.453 ; 5.868 ; 5.828 ;
; wb_we_i     ; wb_dat_o[12] ; 4.773 ; 4.733 ; 5.240 ; 5.200 ;
; wb_we_i     ; wb_dat_o[13] ; 4.768 ; 4.728 ; 5.236 ; 5.196 ;
; wb_we_i     ; wb_dat_o[14] ; 5.594 ; 5.554 ; 5.960 ; 5.920 ;
; wb_we_i     ; wb_dat_o[15] ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
; wb_we_i     ; wb_dat_o[16] ; 5.403 ; 5.358 ; 5.783 ; 5.738 ;
; wb_we_i     ; wb_dat_o[17] ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
; wb_we_i     ; wb_dat_o[18] ; 5.595 ; 5.555 ; 5.960 ; 5.920 ;
; wb_we_i     ; wb_dat_o[19] ; 4.513 ; 4.473 ; 5.008 ; 4.968 ;
; wb_we_i     ; wb_dat_o[20] ; 4.914 ; 4.874 ; 5.360 ; 5.320 ;
; wb_we_i     ; wb_dat_o[21] ; 4.741 ; 4.696 ; 5.199 ; 5.154 ;
; wb_we_i     ; wb_dat_o[22] ; 5.376 ; 5.332 ; 5.834 ; 5.790 ;
; wb_we_i     ; wb_dat_o[23] ; 4.773 ; 4.733 ; 5.240 ; 5.200 ;
; wb_we_i     ; wb_dat_o[24] ; 4.914 ; 4.874 ; 5.360 ; 5.320 ;
; wb_we_i     ; wb_dat_o[25] ; 5.595 ; 5.555 ; 5.960 ; 5.920 ;
; wb_we_i     ; wb_dat_o[26] ; 5.852 ; 5.770 ; 6.174 ; 6.092 ;
; wb_we_i     ; wb_dat_o[27] ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
; wb_we_i     ; wb_dat_o[28] ; 6.001 ; 5.919 ; 6.308 ; 6.226 ;
; wb_we_i     ; wb_dat_o[29] ; 5.852 ; 5.770 ; 6.174 ; 6.092 ;
; wb_we_i     ; wb_dat_o[30] ; 5.838 ; 5.756 ; 6.162 ; 6.080 ;
; wb_we_i     ; wb_dat_o[31] ; 4.938 ; 4.898 ; 5.382 ; 5.342 ;
+-------------+--------------+-------+-------+-------+-------+


---------------------------------------------
; Fast 1200mV 0C Model Metastability Report ;
---------------------------------------------
No synchronizer chains to report.


+--------------------------------------------------------------------------------+
; Multicorner Timing Analysis Summary                                            ;
+------------------+----------+-------+----------+---------+---------------------+
; Clock            ; Setup    ; Hold  ; Recovery ; Removal ; Minimum Pulse Width ;
+------------------+----------+-------+----------+---------+---------------------+
; Worst-case Slack ; -2.910   ; 0.185 ; -2.528   ; 1.353   ; -3.000              ;
;  wb_clk_i        ; -2.910   ; 0.185 ; -2.528   ; 1.353   ; -3.000              ;
; Design-wide TNS  ; -416.889 ; 0.0   ; -267.492 ; 0.0     ; -326.495            ;
;  wb_clk_i        ; -416.889 ; 0.000 ; -267.492 ; 0.000   ; -326.495            ;
+------------------+----------+-------+----------+---------+---------------------+


+---------------------------------------------------------------------------+
; Setup Times                                                               ;
+---------------+------------+-------+-------+------------+-----------------+
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+---------------+------------+-------+-------+------------+-----------------+
; wb_adr_i[*]   ; wb_clk_i   ; 4.271 ; 4.621 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; 2.609 ; 3.032 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; 3.998 ; 4.341 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; 3.819 ; 4.170 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; 3.952 ; 4.323 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; 4.271 ; 4.621 ; Rise       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; 2.965 ; 3.470 ; Rise       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; 3.221 ; 3.760 ; Rise       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; 2.542 ; 3.015 ; Rise       ; wb_clk_i        ;
; wb_adr_i[*]   ; wb_clk_i   ; 7.159 ; 7.534 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; 5.159 ; 5.598 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[1]  ; wb_clk_i   ; 5.183 ; 5.696 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; 6.886 ; 7.254 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; 6.707 ; 7.083 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; 6.840 ; 7.236 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; 7.159 ; 7.534 ; Fall       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; 4.445 ; 4.921 ; Fall       ; wb_clk_i        ;
; wb_dat_i[*]   ; wb_clk_i   ; 2.807 ; 3.319 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[0]  ; wb_clk_i   ; 2.257 ; 2.702 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[1]  ; wb_clk_i   ; 1.756 ; 2.192 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[2]  ; wb_clk_i   ; 1.621 ; 2.053 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[3]  ; wb_clk_i   ; 2.237 ; 2.695 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[4]  ; wb_clk_i   ; 2.285 ; 2.765 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[5]  ; wb_clk_i   ; 2.012 ; 2.474 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[6]  ; wb_clk_i   ; 2.596 ; 3.158 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[7]  ; wb_clk_i   ; 2.003 ; 2.461 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[8]  ; wb_clk_i   ; 2.482 ; 2.978 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[9]  ; wb_clk_i   ; 2.028 ; 2.529 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[10] ; wb_clk_i   ; 2.508 ; 3.004 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[11] ; wb_clk_i   ; 2.265 ; 2.751 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[12] ; wb_clk_i   ; 1.957 ; 2.467 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[13] ; wb_clk_i   ; 2.611 ; 3.125 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[14] ; wb_clk_i   ; 2.463 ; 2.979 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[15] ; wb_clk_i   ; 2.188 ; 2.670 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[16] ; wb_clk_i   ; 2.224 ; 2.706 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[17] ; wb_clk_i   ; 2.408 ; 2.896 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[18] ; wb_clk_i   ; 2.234 ; 2.729 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[19] ; wb_clk_i   ; 2.261 ; 2.728 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[20] ; wb_clk_i   ; 2.807 ; 3.319 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[21] ; wb_clk_i   ; 2.340 ; 2.855 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[22] ; wb_clk_i   ; 1.268 ; 1.701 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[23] ; wb_clk_i   ; 2.031 ; 2.446 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[24] ; wb_clk_i   ; 2.343 ; 2.821 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[25] ; wb_clk_i   ; 1.949 ; 2.455 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[26] ; wb_clk_i   ; 2.045 ; 2.582 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[27] ; wb_clk_i   ; 2.114 ; 2.612 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[28] ; wb_clk_i   ; 1.852 ; 2.286 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[29] ; wb_clk_i   ; 2.207 ; 2.723 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[30] ; wb_clk_i   ; 2.405 ; 2.946 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[31] ; wb_clk_i   ; 2.763 ; 3.274 ; Fall       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; 4.701 ; 5.211 ; Fall       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; 3.637 ; 4.053 ; Fall       ; wb_clk_i        ;
+---------------+------------+-------+-------+------------+-----------------+


+-----------------------------------------------------------------------------+
; Hold Times                                                                  ;
+---------------+------------+--------+--------+------------+-----------------+
; Data Port     ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
+---------------+------------+--------+--------+------------+-----------------+
; wb_adr_i[*]   ; wb_clk_i   ; -0.829 ; -1.391 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; -0.829 ; -1.391 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; -1.937 ; -2.322 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; -1.848 ; -2.220 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; -1.924 ; -2.322 ; Rise       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; -2.090 ; -2.490 ; Rise       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; -1.014 ; -1.655 ; Rise       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; -1.159 ; -1.809 ; Rise       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; -0.811 ; -1.397 ; Rise       ; wb_clk_i        ;
; wb_adr_i[*]   ; wb_clk_i   ; -0.830 ; -1.413 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[0]  ; wb_clk_i   ; -0.830 ; -1.413 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[1]  ; wb_clk_i   ; -1.255 ; -1.844 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[2]  ; wb_clk_i   ; -2.269 ; -2.619 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[3]  ; wb_clk_i   ; -2.180 ; -2.517 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[4]  ; wb_clk_i   ; -2.256 ; -2.619 ; Fall       ; wb_clk_i        ;
;  wb_adr_i[5]  ; wb_clk_i   ; -2.422 ; -2.787 ; Fall       ; wb_clk_i        ;
; wb_cyc_i      ; wb_clk_i   ; -1.178 ; -1.857 ; Fall       ; wb_clk_i        ;
; wb_dat_i[*]   ; wb_clk_i   ; 0.045  ; -0.503 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[0]  ; wb_clk_i   ; -0.098 ; -0.645 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[1]  ; wb_clk_i   ; 0.001  ; -0.547 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[2]  ; wb_clk_i   ; -0.078 ; -0.642 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[3]  ; wb_clk_i   ; -0.049 ; -0.626 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[4]  ; wb_clk_i   ; -0.315 ; -0.919 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[5]  ; wb_clk_i   ; 0.045  ; -0.503 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[6]  ; wb_clk_i   ; -0.515 ; -1.152 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[7]  ; wb_clk_i   ; -0.139 ; -0.697 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[8]  ; wb_clk_i   ; -0.259 ; -0.872 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[9]  ; wb_clk_i   ; -0.299 ; -0.926 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[10] ; wb_clk_i   ; -0.612 ; -1.270 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[11] ; wb_clk_i   ; -0.412 ; -1.044 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[12] ; wb_clk_i   ; -0.241 ; -0.857 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[13] ; wb_clk_i   ; -0.449 ; -1.084 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[14] ; wb_clk_i   ; -0.328 ; -0.954 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[15] ; wb_clk_i   ; -0.293 ; -0.918 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[16] ; wb_clk_i   ; -0.458 ; -1.080 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[17] ; wb_clk_i   ; -0.357 ; -0.974 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[18] ; wb_clk_i   ; -0.351 ; -0.966 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[19] ; wb_clk_i   ; -0.377 ; -0.969 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[20] ; wb_clk_i   ; -0.587 ; -1.238 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[21] ; wb_clk_i   ; -0.466 ; -1.117 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[22] ; wb_clk_i   ; -0.124 ; -0.675 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[23] ; wb_clk_i   ; -0.291 ; -0.842 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[24] ; wb_clk_i   ; -0.202 ; -0.807 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[25] ; wb_clk_i   ; -0.300 ; -0.933 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[26] ; wb_clk_i   ; -0.320 ; -0.955 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[27] ; wb_clk_i   ; -0.346 ; -0.974 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[28] ; wb_clk_i   ; -0.132 ; -0.715 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[29] ; wb_clk_i   ; -0.267 ; -0.893 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[30] ; wb_clk_i   ; -0.378 ; -1.014 ; Fall       ; wb_clk_i        ;
;  wb_dat_i[31] ; wb_clk_i   ; -0.772 ; -1.446 ; Fall       ; wb_clk_i        ;
; wb_stb_i      ; wb_clk_i   ; -1.287 ; -1.969 ; Fall       ; wb_clk_i        ;
; wb_we_i       ; wb_clk_i   ; -0.764 ; -1.380 ; Fall       ; wb_clk_i        ;
+---------------+------------+--------+--------+------------+-----------------+


+---------------------------------------------------------------------------+
; Clock to Output Times                                                     ;
+---------------+------------+-------+-------+------------+-----------------+
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+---------------+------------+-------+-------+------------+-----------------+
; wb_ack_o      ; wb_clk_i   ; 7.153 ; 7.137 ; Rise       ; wb_clk_i        ;
; wb_err_o      ; wb_clk_i   ; 6.848 ; 6.787 ; Rise       ; wb_clk_i        ;
; wb_rty_o      ; wb_clk_i   ; 6.313 ; 6.240 ; Rise       ; wb_clk_i        ;
; one_o         ; wb_clk_i   ; 7.107 ; 7.085 ; Fall       ; wb_clk_i        ;
; wb_dat_o[*]   ; wb_clk_i   ; 9.683 ; 9.676 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[0]  ; wb_clk_i   ; 7.960 ; 7.849 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[1]  ; wb_clk_i   ; 9.112 ; 9.007 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[2]  ; wb_clk_i   ; 8.506 ; 8.564 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[3]  ; wb_clk_i   ; 8.344 ; 8.203 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[4]  ; wb_clk_i   ; 8.770 ; 8.747 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[5]  ; wb_clk_i   ; 8.249 ; 8.195 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[6]  ; wb_clk_i   ; 8.886 ; 8.904 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[7]  ; wb_clk_i   ; 9.101 ; 9.109 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[8]  ; wb_clk_i   ; 8.056 ; 7.989 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[9]  ; wb_clk_i   ; 9.683 ; 9.676 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[10] ; wb_clk_i   ; 9.241 ; 9.242 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[11] ; wb_clk_i   ; 8.368 ; 8.358 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[12] ; wb_clk_i   ; 7.338 ; 7.265 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[13] ; wb_clk_i   ; 7.357 ; 7.271 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[14] ; wb_clk_i   ; 8.597 ; 8.624 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[15] ; wb_clk_i   ; 8.345 ; 8.393 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[16] ; wb_clk_i   ; 7.924 ; 7.985 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[17] ; wb_clk_i   ; 8.069 ; 8.073 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[18] ; wb_clk_i   ; 8.883 ; 8.880 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[19] ; wb_clk_i   ; 6.587 ; 6.542 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[20] ; wb_clk_i   ; 7.407 ; 7.373 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[21] ; wb_clk_i   ; 6.854 ; 6.784 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[22] ; wb_clk_i   ; 7.919 ; 7.943 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[23] ; wb_clk_i   ; 7.822 ; 7.724 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[24] ; wb_clk_i   ; 7.611 ; 7.536 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[25] ; wb_clk_i   ; 9.170 ; 9.145 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[26] ; wb_clk_i   ; 8.203 ; 8.254 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[27] ; wb_clk_i   ; 7.847 ; 7.892 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[28] ; wb_clk_i   ; 8.114 ; 8.148 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[29] ; wb_clk_i   ; 8.109 ; 8.120 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[30] ; wb_clk_i   ; 7.405 ; 7.458 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[31] ; wb_clk_i   ; 7.164 ; 7.160 ; Fall       ; wb_clk_i        ;
; zero_o        ; wb_clk_i   ; 9.140 ; 9.037 ; Fall       ; wb_clk_i        ;
+---------------+------------+-------+-------+------------+-----------------+


+---------------------------------------------------------------------------+
; Minimum Clock to Output Times                                             ;
+---------------+------------+-------+-------+------------+-----------------+
; Data Port     ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+---------------+------------+-------+-------+------------+-----------------+
; wb_ack_o      ; wb_clk_i   ; 4.193 ; 4.256 ; Rise       ; wb_clk_i        ;
; wb_err_o      ; wb_clk_i   ; 3.858 ; 3.928 ; Rise       ; wb_clk_i        ;
; wb_rty_o      ; wb_clk_i   ; 3.571 ; 3.614 ; Rise       ; wb_clk_i        ;
; one_o         ; wb_clk_i   ; 4.435 ; 4.307 ; Fall       ; wb_clk_i        ;
; wb_dat_o[*]   ; wb_clk_i   ; 3.890 ; 3.910 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[0]  ; wb_clk_i   ; 4.385 ; 4.493 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[1]  ; wb_clk_i   ; 4.095 ; 4.148 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[2]  ; wb_clk_i   ; 4.838 ; 4.982 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[3]  ; wb_clk_i   ; 4.143 ; 4.221 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[4]  ; wb_clk_i   ; 4.452 ; 4.521 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[5]  ; wb_clk_i   ; 4.225 ; 4.291 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[6]  ; wb_clk_i   ; 4.584 ; 4.700 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[7]  ; wb_clk_i   ; 4.853 ; 4.956 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[8]  ; wb_clk_i   ; 4.301 ; 4.365 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[9]  ; wb_clk_i   ; 5.210 ; 5.426 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[10] ; wb_clk_i   ; 5.383 ; 5.522 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[11] ; wb_clk_i   ; 4.895 ; 5.056 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[12] ; wb_clk_i   ; 4.079 ; 4.120 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[13] ; wb_clk_i   ; 4.093 ; 4.136 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[14] ; wb_clk_i   ; 4.976 ; 5.148 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[15] ; wb_clk_i   ; 4.912 ; 5.088 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[16] ; wb_clk_i   ; 4.584 ; 4.700 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[17] ; wb_clk_i   ; 4.826 ; 4.974 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[18] ; wb_clk_i   ; 5.288 ; 5.490 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[19] ; wb_clk_i   ; 3.890 ; 3.910 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[20] ; wb_clk_i   ; 4.289 ; 4.366 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[21] ; wb_clk_i   ; 4.117 ; 4.151 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[22] ; wb_clk_i   ; 4.758 ; 4.841 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[23] ; wb_clk_i   ; 4.218 ; 4.272 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[24] ; wb_clk_i   ; 4.232 ; 4.293 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[25] ; wb_clk_i   ; 5.230 ; 5.426 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[26] ; wb_clk_i   ; 4.826 ; 4.922 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[27] ; wb_clk_i   ; 4.578 ; 4.706 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[28] ; wb_clk_i   ; 4.761 ; 4.837 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[29] ; wb_clk_i   ; 4.908 ; 5.004 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[30] ; wb_clk_i   ; 4.527 ; 4.595 ; Fall       ; wb_clk_i        ;
;  wb_dat_o[31] ; wb_clk_i   ; 4.201 ; 4.277 ; Fall       ; wb_clk_i        ;
; zero_o        ; wb_clk_i   ; 5.661 ; 5.440 ; Fall       ; wb_clk_i        ;
+---------------+------------+-------+-------+------------+-----------------+


+----------------------------------------------------------------+
; Propagation Delay                                              ;
+-------------+--------------+--------+--------+--------+--------+
; Input Port  ; Output Port  ; RR     ; RF     ; FR     ; FF     ;
+-------------+--------------+--------+--------+--------+--------+
; wb_adr_i[0] ; wb_dat_o[0]  ; 9.305  ; 9.480  ; 10.082 ; 9.579  ;
; wb_adr_i[0] ; wb_dat_o[1]  ; 9.682  ; 9.903  ; 10.450 ; 10.024 ;
; wb_adr_i[0] ; wb_dat_o[2]  ; 10.103 ; 10.364 ; 10.883 ; 10.560 ;
; wb_adr_i[0] ; wb_dat_o[3]  ; 9.970  ; 10.173 ; 10.741 ; 10.292 ;
; wb_adr_i[0] ; wb_dat_o[4]  ; 11.026 ; 11.356 ; 11.950 ; 11.310 ;
; wb_adr_i[0] ; wb_dat_o[5]  ; 11.000 ; 11.040 ; 11.625 ; 11.285 ;
; wb_adr_i[0] ; wb_dat_o[6]  ; 11.149 ; 11.513 ; 12.064 ; 11.475 ;
; wb_adr_i[0] ; wb_dat_o[7]  ; 11.244 ; 11.624 ; 12.149 ; 11.587 ;
; wb_adr_i[0] ; wb_dat_o[8]  ; 9.946  ; 10.031 ; 10.635 ; 10.222 ;
; wb_adr_i[0] ; wb_dat_o[9]  ; 11.519 ; 11.576 ; 12.135 ; 11.829 ;
; wb_adr_i[0] ; wb_dat_o[10] ; 11.178 ; 11.405 ; 11.956 ; 11.504 ;
; wb_adr_i[0] ; wb_dat_o[11] ; 10.680 ; 10.642 ; 11.175 ; 11.049 ;
; wb_adr_i[0] ; wb_dat_o[12] ; 8.954  ; 9.130  ; 9.718  ; 9.231  ;
; wb_adr_i[0] ; wb_dat_o[13] ; 8.987  ; 9.161  ; 9.752  ; 9.266  ;
; wb_adr_i[0] ; wb_dat_o[14] ; 10.537 ; 10.792 ; 11.318 ; 10.888 ;
; wb_adr_i[0] ; wb_dat_o[15] ; 10.642 ; 10.669 ; 11.136 ; 11.076 ;
; wb_adr_i[0] ; wb_dat_o[16] ; 9.861  ; 10.147 ; 10.638 ; 10.247 ;
; wb_adr_i[0] ; wb_dat_o[17] ; 10.510 ; 10.473 ; 11.009 ; 10.876 ;
; wb_adr_i[0] ; wb_dat_o[18] ; 11.290 ; 11.306 ; 11.826 ; 11.649 ;
; wb_adr_i[0] ; wb_dat_o[19] ; 8.581  ; 8.751  ; 9.328  ; 8.867  ;
; wb_adr_i[0] ; wb_dat_o[20] ; 9.331  ; 9.516  ; 10.095 ; 9.618  ;
; wb_adr_i[0] ; wb_dat_o[21] ; 9.303  ; 9.185  ; 9.784  ; 9.594  ;
; wb_adr_i[0] ; wb_dat_o[22] ; 9.666  ; 9.692  ; 10.197 ; 10.023 ;
; wb_adr_i[0] ; wb_dat_o[23] ; 9.229  ; 9.378  ; 9.975  ; 9.495  ;
; wb_adr_i[0] ; wb_dat_o[24] ; 9.202  ; 9.345  ; 9.921  ; 9.500  ;
; wb_adr_i[0] ; wb_dat_o[25] ; 10.991 ; 11.201 ; 11.738 ; 11.317 ;
; wb_adr_i[0] ; wb_dat_o[26] ; 9.921  ; 10.202 ; 10.715 ; 10.309 ;
; wb_adr_i[0] ; wb_dat_o[27] ; 9.605  ; 9.882  ; 10.383 ; 9.999  ;
; wb_adr_i[0] ; wb_dat_o[28] ; 9.821  ; 10.079 ; 10.612 ; 10.190 ;
; wb_adr_i[0] ; wb_dat_o[29] ; 10.323 ; 10.301 ; 10.839 ; 10.705 ;
; wb_adr_i[0] ; wb_dat_o[30] ; 10.145 ; 10.346 ; 10.824 ; 10.544 ;
; wb_adr_i[0] ; wb_dat_o[31] ; 9.473  ; 9.816  ; 10.370 ; 9.786  ;
; wb_adr_i[1] ; wb_dat_o[0]  ; 9.313  ; 9.530  ; 10.197 ; 9.684  ;
; wb_adr_i[1] ; wb_dat_o[1]  ; 9.683  ; 9.953  ; 10.565 ; 10.136 ;
; wb_adr_i[1] ; wb_dat_o[2]  ; 10.114 ; 10.414 ; 10.998 ; 10.675 ;
; wb_adr_i[1] ; wb_dat_o[3]  ; 9.971  ; 10.223 ; 10.856 ; 10.404 ;
; wb_adr_i[1] ; wb_dat_o[4]  ; 11.001 ; 11.406 ; 12.065 ; 11.448 ;
; wb_adr_i[1] ; wb_dat_o[5]  ; 10.934 ; 11.090 ; 11.740 ; 11.387 ;
; wb_adr_i[1] ; wb_dat_o[6]  ; 11.123 ; 11.563 ; 12.179 ; 11.614 ;
; wb_adr_i[1] ; wb_dat_o[7]  ; 11.218 ; 11.674 ; 12.264 ; 11.726 ;
; wb_adr_i[1] ; wb_dat_o[8]  ; 9.955  ; 10.081 ; 10.750 ; 10.388 ;
; wb_adr_i[1] ; wb_dat_o[9]  ; 11.452 ; 11.626 ; 12.250 ; 11.932 ;
; wb_adr_i[1] ; wb_dat_o[10] ; 11.165 ; 11.455 ; 12.071 ; 11.630 ;
; wb_adr_i[1] ; wb_dat_o[11] ; 10.633 ; 10.692 ; 11.290 ; 11.122 ;
; wb_adr_i[1] ; wb_dat_o[12] ; 8.939  ; 9.180  ; 9.833  ; 9.359  ;
; wb_adr_i[1] ; wb_dat_o[13] ; 8.973  ; 9.211  ; 9.867  ; 9.393  ;
; wb_adr_i[1] ; wb_dat_o[14] ; 10.523 ; 10.842 ; 11.433 ; 11.015 ;
; wb_adr_i[1] ; wb_dat_o[15] ; 10.595 ; 10.719 ; 11.251 ; 11.149 ;
; wb_adr_i[1] ; wb_dat_o[16] ; 9.848  ; 10.197 ; 10.753 ; 10.373 ;
; wb_adr_i[1] ; wb_dat_o[17] ; 10.462 ; 10.523 ; 11.124 ; 10.950 ;
; wb_adr_i[1] ; wb_dat_o[18] ; 11.318 ; 11.356 ; 11.941 ; 11.793 ;
; wb_adr_i[1] ; wb_dat_o[19] ; 8.566  ; 8.801  ; 9.443  ; 8.995  ;
; wb_adr_i[1] ; wb_dat_o[20] ; 9.316  ; 9.566  ; 10.210 ; 9.746  ;
; wb_adr_i[1] ; wb_dat_o[21] ; 9.255  ; 9.235  ; 9.899  ; 9.668  ;
; wb_adr_i[1] ; wb_dat_o[22] ; 9.670  ; 9.742  ; 10.312 ; 10.132 ;
; wb_adr_i[1] ; wb_dat_o[23] ; 9.215  ; 9.428  ; 10.090 ; 9.622  ;
; wb_adr_i[1] ; wb_dat_o[24] ; 9.207  ; 9.395  ; 10.036 ; 9.608  ;
; wb_adr_i[1] ; wb_dat_o[25] ; 10.976 ; 11.251 ; 11.853 ; 11.445 ;
; wb_adr_i[1] ; wb_dat_o[26] ; 9.922  ; 10.252 ; 10.830 ; 10.421 ;
; wb_adr_i[1] ; wb_dat_o[27] ; 9.607  ; 9.932  ; 10.498 ; 10.110 ;
; wb_adr_i[1] ; wb_dat_o[28] ; 9.823  ; 10.129 ; 10.727 ; 10.301 ;
; wb_adr_i[1] ; wb_dat_o[29] ; 10.284 ; 10.351 ; 10.954 ; 10.770 ;
; wb_adr_i[1] ; wb_dat_o[30] ; 10.152 ; 10.396 ; 10.939 ; 10.712 ;
; wb_adr_i[1] ; wb_dat_o[31] ; 9.446  ; 9.866  ; 10.485 ; 9.926  ;
; wb_adr_i[2] ; wb_dat_o[0]  ; 11.386 ; 10.874 ; 11.384 ; 11.602 ;
; wb_adr_i[2] ; wb_dat_o[1]  ; 11.754 ; 11.326 ; 11.754 ; 12.025 ;
; wb_adr_i[2] ; wb_dat_o[2]  ; 12.187 ; 11.864 ; 12.186 ; 12.486 ;
; wb_adr_i[2] ; wb_dat_o[3]  ; 12.045 ; 11.594 ; 12.042 ; 12.295 ;
; wb_adr_i[2] ; wb_dat_o[4]  ; 13.254 ; 12.638 ; 13.072 ; 13.478 ;
; wb_adr_i[2] ; wb_dat_o[5]  ; 12.929 ; 12.577 ; 13.005 ; 13.162 ;
; wb_adr_i[2] ; wb_dat_o[6]  ; 13.368 ; 12.804 ; 13.194 ; 13.635 ;
; wb_adr_i[2] ; wb_dat_o[7]  ; 13.453 ; 12.916 ; 13.289 ; 13.746 ;
; wb_adr_i[2] ; wb_dat_o[8]  ; 11.939 ; 11.578 ; 12.026 ; 12.153 ;
; wb_adr_i[2] ; wb_dat_o[9]  ; 13.439 ; 13.122 ; 13.523 ; 13.698 ;
; wb_adr_i[2] ; wb_dat_o[10] ; 13.260 ; 12.820 ; 13.236 ; 13.527 ;
; wb_adr_i[2] ; wb_dat_o[11] ; 12.479 ; 12.312 ; 12.704 ; 12.764 ;
; wb_adr_i[2] ; wb_dat_o[12] ; 11.022 ; 10.549 ; 11.010 ; 11.252 ;
; wb_adr_i[2] ; wb_dat_o[13] ; 11.056 ; 10.583 ; 11.044 ; 11.283 ;
; wb_adr_i[2] ; wb_dat_o[14] ; 12.622 ; 12.205 ; 12.594 ; 12.914 ;
; wb_adr_i[2] ; wb_dat_o[15] ; 12.440 ; 12.339 ; 12.666 ; 12.791 ;
; wb_adr_i[2] ; wb_dat_o[16] ; 11.942 ; 11.563 ; 11.919 ; 12.269 ;
; wb_adr_i[2] ; wb_dat_o[17] ; 12.313 ; 12.140 ; 12.533 ; 12.595 ;
; wb_adr_i[2] ; wb_dat_o[18] ; 13.130 ; 12.983 ; 13.389 ; 13.428 ;
; wb_adr_i[2] ; wb_dat_o[19] ; 10.632 ; 10.185 ; 10.637 ; 10.873 ;
; wb_adr_i[2] ; wb_dat_o[20] ; 11.399 ; 10.936 ; 11.387 ; 11.638 ;
; wb_adr_i[2] ; wb_dat_o[21] ; 11.088 ; 10.858 ; 11.326 ; 11.307 ;
; wb_adr_i[2] ; wb_dat_o[22] ; 11.501 ; 11.322 ; 11.741 ; 11.814 ;
; wb_adr_i[2] ; wb_dat_o[23] ; 11.279 ; 10.812 ; 11.286 ; 11.500 ;
; wb_adr_i[2] ; wb_dat_o[24] ; 11.225 ; 10.798 ; 11.278 ; 11.467 ;
; wb_adr_i[2] ; wb_dat_o[25] ; 13.042 ; 12.635 ; 13.047 ; 13.323 ;
; wb_adr_i[2] ; wb_dat_o[26] ; 12.019 ; 11.611 ; 11.993 ; 12.324 ;
; wb_adr_i[2] ; wb_dat_o[27] ; 11.687 ; 11.300 ; 11.678 ; 12.004 ;
; wb_adr_i[2] ; wb_dat_o[28] ; 11.916 ; 11.491 ; 11.894 ; 12.201 ;
; wb_adr_i[2] ; wb_dat_o[29] ; 12.143 ; 11.960 ; 12.355 ; 12.423 ;
; wb_adr_i[2] ; wb_dat_o[30] ; 12.128 ; 11.902 ; 12.223 ; 12.468 ;
; wb_adr_i[2] ; wb_dat_o[31] ; 11.674 ; 11.116 ; 11.517 ; 11.938 ;
; wb_adr_i[3] ; wb_dat_o[0]  ; 11.207 ; 10.695 ; 11.213 ; 11.431 ;
; wb_adr_i[3] ; wb_dat_o[1]  ; 11.575 ; 11.147 ; 11.583 ; 11.854 ;
; wb_adr_i[3] ; wb_dat_o[2]  ; 12.008 ; 11.685 ; 12.015 ; 12.315 ;
; wb_adr_i[3] ; wb_dat_o[3]  ; 11.866 ; 11.415 ; 11.871 ; 12.124 ;
; wb_adr_i[3] ; wb_dat_o[4]  ; 13.075 ; 12.459 ; 12.901 ; 13.307 ;
; wb_adr_i[3] ; wb_dat_o[5]  ; 12.750 ; 12.398 ; 12.834 ; 12.991 ;
; wb_adr_i[3] ; wb_dat_o[6]  ; 13.189 ; 12.625 ; 13.023 ; 13.464 ;
; wb_adr_i[3] ; wb_dat_o[7]  ; 13.274 ; 12.737 ; 13.118 ; 13.575 ;
; wb_adr_i[3] ; wb_dat_o[8]  ; 11.760 ; 11.399 ; 11.855 ; 11.982 ;
; wb_adr_i[3] ; wb_dat_o[9]  ; 13.260 ; 12.943 ; 13.352 ; 13.527 ;
; wb_adr_i[3] ; wb_dat_o[10] ; 13.081 ; 12.641 ; 13.065 ; 13.356 ;
; wb_adr_i[3] ; wb_dat_o[11] ; 12.300 ; 12.133 ; 12.533 ; 12.593 ;
; wb_adr_i[3] ; wb_dat_o[12] ; 10.843 ; 10.370 ; 10.839 ; 11.081 ;
; wb_adr_i[3] ; wb_dat_o[13] ; 10.877 ; 10.404 ; 10.873 ; 11.112 ;
; wb_adr_i[3] ; wb_dat_o[14] ; 12.443 ; 12.026 ; 12.423 ; 12.743 ;
; wb_adr_i[3] ; wb_dat_o[15] ; 12.261 ; 12.160 ; 12.495 ; 12.620 ;
; wb_adr_i[3] ; wb_dat_o[16] ; 11.763 ; 11.384 ; 11.748 ; 12.098 ;
; wb_adr_i[3] ; wb_dat_o[17] ; 12.134 ; 11.961 ; 12.362 ; 12.424 ;
; wb_adr_i[3] ; wb_dat_o[18] ; 12.951 ; 12.804 ; 13.218 ; 13.257 ;
; wb_adr_i[3] ; wb_dat_o[19] ; 10.453 ; 10.006 ; 10.466 ; 10.702 ;
; wb_adr_i[3] ; wb_dat_o[20] ; 11.220 ; 10.757 ; 11.216 ; 11.467 ;
; wb_adr_i[3] ; wb_dat_o[21] ; 10.909 ; 10.679 ; 11.155 ; 11.136 ;
; wb_adr_i[3] ; wb_dat_o[22] ; 11.322 ; 11.143 ; 11.570 ; 11.643 ;
; wb_adr_i[3] ; wb_dat_o[23] ; 11.100 ; 10.633 ; 11.115 ; 11.329 ;
; wb_adr_i[3] ; wb_dat_o[24] ; 11.046 ; 10.619 ; 11.107 ; 11.296 ;
; wb_adr_i[3] ; wb_dat_o[25] ; 12.863 ; 12.456 ; 12.876 ; 13.152 ;
; wb_adr_i[3] ; wb_dat_o[26] ; 11.840 ; 11.432 ; 11.822 ; 12.153 ;
; wb_adr_i[3] ; wb_dat_o[27] ; 11.508 ; 11.121 ; 11.507 ; 11.833 ;
; wb_adr_i[3] ; wb_dat_o[28] ; 11.737 ; 11.312 ; 11.723 ; 12.030 ;
; wb_adr_i[3] ; wb_dat_o[29] ; 11.964 ; 11.781 ; 12.184 ; 12.252 ;
; wb_adr_i[3] ; wb_dat_o[30] ; 11.949 ; 11.723 ; 12.052 ; 12.297 ;
; wb_adr_i[3] ; wb_dat_o[31] ; 11.495 ; 10.937 ; 11.346 ; 11.767 ;
; wb_adr_i[4] ; wb_dat_o[0]  ; 11.340 ; 10.828 ; 11.366 ; 11.584 ;
; wb_adr_i[4] ; wb_dat_o[1]  ; 11.708 ; 11.280 ; 11.736 ; 12.007 ;
; wb_adr_i[4] ; wb_dat_o[2]  ; 12.141 ; 11.818 ; 12.168 ; 12.468 ;
; wb_adr_i[4] ; wb_dat_o[3]  ; 11.999 ; 11.548 ; 12.024 ; 12.277 ;
; wb_adr_i[4] ; wb_dat_o[4]  ; 13.208 ; 12.592 ; 13.054 ; 13.460 ;
; wb_adr_i[4] ; wb_dat_o[5]  ; 12.883 ; 12.531 ; 12.987 ; 13.144 ;
; wb_adr_i[4] ; wb_dat_o[6]  ; 13.322 ; 12.758 ; 13.176 ; 13.617 ;
; wb_adr_i[4] ; wb_dat_o[7]  ; 13.407 ; 12.870 ; 13.271 ; 13.728 ;
; wb_adr_i[4] ; wb_dat_o[8]  ; 11.893 ; 11.532 ; 12.008 ; 12.135 ;
; wb_adr_i[4] ; wb_dat_o[9]  ; 13.393 ; 13.076 ; 13.505 ; 13.680 ;
; wb_adr_i[4] ; wb_dat_o[10] ; 13.214 ; 12.774 ; 13.218 ; 13.509 ;
; wb_adr_i[4] ; wb_dat_o[11] ; 12.433 ; 12.266 ; 12.686 ; 12.746 ;
; wb_adr_i[4] ; wb_dat_o[12] ; 10.976 ; 10.503 ; 10.992 ; 11.234 ;
; wb_adr_i[4] ; wb_dat_o[13] ; 11.010 ; 10.537 ; 11.026 ; 11.265 ;
; wb_adr_i[4] ; wb_dat_o[14] ; 12.576 ; 12.159 ; 12.576 ; 12.896 ;
; wb_adr_i[4] ; wb_dat_o[15] ; 12.394 ; 12.293 ; 12.648 ; 12.773 ;
; wb_adr_i[4] ; wb_dat_o[16] ; 11.896 ; 11.517 ; 11.901 ; 12.251 ;
; wb_adr_i[4] ; wb_dat_o[17] ; 12.267 ; 12.094 ; 12.515 ; 12.577 ;
; wb_adr_i[4] ; wb_dat_o[18] ; 13.084 ; 12.937 ; 13.371 ; 13.410 ;
; wb_adr_i[4] ; wb_dat_o[19] ; 10.586 ; 10.139 ; 10.619 ; 10.855 ;
; wb_adr_i[4] ; wb_dat_o[20] ; 11.353 ; 10.890 ; 11.369 ; 11.620 ;
; wb_adr_i[4] ; wb_dat_o[21] ; 11.042 ; 10.812 ; 11.308 ; 11.289 ;
; wb_adr_i[4] ; wb_dat_o[22] ; 11.455 ; 11.276 ; 11.723 ; 11.796 ;
; wb_adr_i[4] ; wb_dat_o[23] ; 11.233 ; 10.766 ; 11.268 ; 11.482 ;
; wb_adr_i[4] ; wb_dat_o[24] ; 11.179 ; 10.752 ; 11.260 ; 11.449 ;
; wb_adr_i[4] ; wb_dat_o[25] ; 12.996 ; 12.589 ; 13.029 ; 13.305 ;
; wb_adr_i[4] ; wb_dat_o[26] ; 11.973 ; 11.565 ; 11.975 ; 12.306 ;
; wb_adr_i[4] ; wb_dat_o[27] ; 11.641 ; 11.254 ; 11.660 ; 11.986 ;
; wb_adr_i[4] ; wb_dat_o[28] ; 11.870 ; 11.445 ; 11.876 ; 12.183 ;
; wb_adr_i[4] ; wb_dat_o[29] ; 12.097 ; 11.914 ; 12.337 ; 12.405 ;
; wb_adr_i[4] ; wb_dat_o[30] ; 12.082 ; 11.856 ; 12.205 ; 12.450 ;
; wb_adr_i[4] ; wb_dat_o[31] ; 11.628 ; 11.070 ; 11.499 ; 11.920 ;
; wb_adr_i[5] ; wb_dat_o[0]  ; 11.659 ; 11.147 ; 11.664 ; 11.882 ;
; wb_adr_i[5] ; wb_dat_o[1]  ; 12.027 ; 11.599 ; 12.034 ; 12.305 ;
; wb_adr_i[5] ; wb_dat_o[2]  ; 12.460 ; 12.137 ; 12.466 ; 12.766 ;
; wb_adr_i[5] ; wb_dat_o[3]  ; 12.318 ; 11.867 ; 12.322 ; 12.575 ;
; wb_adr_i[5] ; wb_dat_o[4]  ; 13.527 ; 12.911 ; 13.352 ; 13.758 ;
; wb_adr_i[5] ; wb_dat_o[5]  ; 13.202 ; 12.850 ; 13.285 ; 13.442 ;
; wb_adr_i[5] ; wb_dat_o[6]  ; 13.641 ; 13.077 ; 13.474 ; 13.915 ;
; wb_adr_i[5] ; wb_dat_o[7]  ; 13.726 ; 13.189 ; 13.569 ; 14.026 ;
; wb_adr_i[5] ; wb_dat_o[8]  ; 12.212 ; 11.851 ; 12.306 ; 12.433 ;
; wb_adr_i[5] ; wb_dat_o[9]  ; 13.712 ; 13.395 ; 13.803 ; 13.978 ;
; wb_adr_i[5] ; wb_dat_o[10] ; 13.533 ; 13.093 ; 13.516 ; 13.807 ;
; wb_adr_i[5] ; wb_dat_o[11] ; 12.752 ; 12.585 ; 12.984 ; 13.044 ;
; wb_adr_i[5] ; wb_dat_o[12] ; 11.295 ; 10.822 ; 11.290 ; 11.532 ;
; wb_adr_i[5] ; wb_dat_o[13] ; 11.329 ; 10.856 ; 11.324 ; 11.563 ;
; wb_adr_i[5] ; wb_dat_o[14] ; 12.895 ; 12.478 ; 12.874 ; 13.194 ;
; wb_adr_i[5] ; wb_dat_o[15] ; 12.713 ; 12.612 ; 12.946 ; 13.071 ;
; wb_adr_i[5] ; wb_dat_o[16] ; 12.215 ; 11.836 ; 12.199 ; 12.549 ;
; wb_adr_i[5] ; wb_dat_o[17] ; 12.586 ; 12.413 ; 12.813 ; 12.875 ;
; wb_adr_i[5] ; wb_dat_o[18] ; 13.403 ; 13.256 ; 13.669 ; 13.708 ;
; wb_adr_i[5] ; wb_dat_o[19] ; 10.905 ; 10.458 ; 10.917 ; 11.153 ;
; wb_adr_i[5] ; wb_dat_o[20] ; 11.672 ; 11.209 ; 11.667 ; 11.918 ;
; wb_adr_i[5] ; wb_dat_o[21] ; 11.361 ; 11.131 ; 11.606 ; 11.587 ;
; wb_adr_i[5] ; wb_dat_o[22] ; 11.774 ; 11.595 ; 12.021 ; 12.094 ;
; wb_adr_i[5] ; wb_dat_o[23] ; 11.552 ; 11.085 ; 11.566 ; 11.780 ;
; wb_adr_i[5] ; wb_dat_o[24] ; 11.498 ; 11.071 ; 11.558 ; 11.747 ;
; wb_adr_i[5] ; wb_dat_o[25] ; 13.315 ; 12.908 ; 13.327 ; 13.603 ;
; wb_adr_i[5] ; wb_dat_o[26] ; 12.292 ; 11.884 ; 12.273 ; 12.604 ;
; wb_adr_i[5] ; wb_dat_o[27] ; 11.960 ; 11.573 ; 11.958 ; 12.284 ;
; wb_adr_i[5] ; wb_dat_o[28] ; 12.189 ; 11.764 ; 12.174 ; 12.481 ;
; wb_adr_i[5] ; wb_dat_o[29] ; 12.416 ; 12.233 ; 12.635 ; 12.703 ;
; wb_adr_i[5] ; wb_dat_o[30] ; 12.401 ; 12.175 ; 12.503 ; 12.748 ;
; wb_adr_i[5] ; wb_dat_o[31] ; 11.947 ; 11.389 ; 11.797 ; 12.218 ;
; wb_cyc_i    ; wb_dat_o[0]  ; 8.102  ; 7.945  ; 8.580  ; 8.423  ;
; wb_cyc_i    ; wb_dat_o[1]  ; 7.843  ; 7.686  ; 8.326  ; 8.169  ;
; wb_cyc_i    ; wb_dat_o[2]  ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
; wb_cyc_i    ; wb_dat_o[3]  ; 8.300  ; 8.143  ; 8.775  ; 8.618  ;
; wb_cyc_i    ; wb_dat_o[4]  ; 9.843  ; 9.686  ; 10.453 ; 10.296 ;
; wb_cyc_i    ; wb_dat_o[5]  ; 9.750  ; 9.593  ; 10.323 ; 10.166 ;
; wb_cyc_i    ; wb_dat_o[6]  ; 9.843  ; 9.686  ; 10.453 ; 10.296 ;
; wb_cyc_i    ; wb_dat_o[7]  ; 10.305 ; 10.183 ; 10.935 ; 10.813 ;
; wb_cyc_i    ; wb_dat_o[8]  ; 8.525  ; 8.368  ; 9.004  ; 8.847  ;
; wb_cyc_i    ; wb_dat_o[9]  ; 9.858  ; 9.701  ; 10.396 ; 10.239 ;
; wb_cyc_i    ; wb_dat_o[10] ; 9.767  ; 9.645  ; 10.400 ; 10.278 ;
; wb_cyc_i    ; wb_dat_o[11] ; 9.681  ; 9.524  ; 10.225 ; 10.068 ;
; wb_cyc_i    ; wb_dat_o[12] ; 8.530  ; 8.373  ; 9.010  ; 8.853  ;
; wb_cyc_i    ; wb_dat_o[13] ; 8.525  ; 8.368  ; 9.004  ; 8.847  ;
; wb_cyc_i    ; wb_dat_o[14] ; 9.858  ; 9.701  ; 10.396 ; 10.239 ;
; wb_cyc_i    ; wb_dat_o[15] ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
; wb_cyc_i    ; wb_dat_o[16] ; 9.534  ; 9.386  ; 10.081 ; 9.933  ;
; wb_cyc_i    ; wb_dat_o[17] ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
; wb_cyc_i    ; wb_dat_o[18] ; 9.862  ; 9.705  ; 10.400 ; 10.243 ;
; wb_cyc_i    ; wb_dat_o[19] ; 8.102  ; 7.945  ; 8.580  ; 8.423  ;
; wb_cyc_i    ; wb_dat_o[20] ; 8.747  ; 8.590  ; 9.236  ; 9.079  ;
; wb_cyc_i    ; wb_dat_o[21] ; 8.467  ; 8.319  ; 8.952  ; 8.804  ;
; wb_cyc_i    ; wb_dat_o[22] ; 9.319  ; 9.223  ; 9.804  ; 9.708  ;
; wb_cyc_i    ; wb_dat_o[23] ; 8.530  ; 8.373  ; 9.010  ; 8.853  ;
; wb_cyc_i    ; wb_dat_o[24] ; 8.747  ; 8.590  ; 9.236  ; 9.079  ;
; wb_cyc_i    ; wb_dat_o[25] ; 9.862  ; 9.705  ; 10.400 ; 10.243 ;
; wb_cyc_i    ; wb_dat_o[26] ; 10.034 ; 9.912  ; 10.674 ; 10.552 ;
; wb_cyc_i    ; wb_dat_o[27] ; 9.909  ; 9.752  ; 10.533 ; 10.376 ;
; wb_cyc_i    ; wb_dat_o[28] ; 10.305 ; 10.183 ; 10.935 ; 10.813 ;
; wb_cyc_i    ; wb_dat_o[29] ; 10.034 ; 9.912  ; 10.674 ; 10.552 ;
; wb_cyc_i    ; wb_dat_o[30] ; 10.038 ; 9.916  ; 10.665 ; 10.543 ;
; wb_cyc_i    ; wb_dat_o[31] ; 8.786  ; 8.629  ; 9.277  ; 9.120  ;
; wb_stb_i    ; wb_dat_o[0]  ; 8.351  ; 8.194  ; 8.864  ; 8.707  ;
; wb_stb_i    ; wb_dat_o[1]  ; 8.092  ; 7.935  ; 8.610  ; 8.453  ;
; wb_stb_i    ; wb_dat_o[2]  ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
; wb_stb_i    ; wb_dat_o[3]  ; 8.549  ; 8.392  ; 9.059  ; 8.902  ;
; wb_stb_i    ; wb_dat_o[4]  ; 10.092 ; 9.935  ; 10.737 ; 10.580 ;
; wb_stb_i    ; wb_dat_o[5]  ; 9.999  ; 9.842  ; 10.607 ; 10.450 ;
; wb_stb_i    ; wb_dat_o[6]  ; 10.092 ; 9.935  ; 10.737 ; 10.580 ;
; wb_stb_i    ; wb_dat_o[7]  ; 10.554 ; 10.432 ; 11.219 ; 11.097 ;
; wb_stb_i    ; wb_dat_o[8]  ; 8.774  ; 8.617  ; 9.288  ; 9.131  ;
; wb_stb_i    ; wb_dat_o[9]  ; 10.107 ; 9.950  ; 10.680 ; 10.523 ;
; wb_stb_i    ; wb_dat_o[10] ; 10.016 ; 9.894  ; 10.684 ; 10.562 ;
; wb_stb_i    ; wb_dat_o[11] ; 9.930  ; 9.773  ; 10.509 ; 10.352 ;
; wb_stb_i    ; wb_dat_o[12] ; 8.779  ; 8.622  ; 9.294  ; 9.137  ;
; wb_stb_i    ; wb_dat_o[13] ; 8.774  ; 8.617  ; 9.288  ; 9.131  ;
; wb_stb_i    ; wb_dat_o[14] ; 10.107 ; 9.950  ; 10.680 ; 10.523 ;
; wb_stb_i    ; wb_dat_o[15] ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
; wb_stb_i    ; wb_dat_o[16] ; 9.783  ; 9.635  ; 10.365 ; 10.217 ;
; wb_stb_i    ; wb_dat_o[17] ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
; wb_stb_i    ; wb_dat_o[18] ; 10.111 ; 9.954  ; 10.684 ; 10.527 ;
; wb_stb_i    ; wb_dat_o[19] ; 8.351  ; 8.194  ; 8.864  ; 8.707  ;
; wb_stb_i    ; wb_dat_o[20] ; 8.996  ; 8.839  ; 9.520  ; 9.363  ;
; wb_stb_i    ; wb_dat_o[21] ; 8.716  ; 8.568  ; 9.236  ; 9.088  ;
; wb_stb_i    ; wb_dat_o[22] ; 9.568  ; 9.472  ; 10.088 ; 9.992  ;
; wb_stb_i    ; wb_dat_o[23] ; 8.779  ; 8.622  ; 9.294  ; 9.137  ;
; wb_stb_i    ; wb_dat_o[24] ; 8.996  ; 8.839  ; 9.520  ; 9.363  ;
; wb_stb_i    ; wb_dat_o[25] ; 10.111 ; 9.954  ; 10.684 ; 10.527 ;
; wb_stb_i    ; wb_dat_o[26] ; 10.283 ; 10.161 ; 10.958 ; 10.836 ;
; wb_stb_i    ; wb_dat_o[27] ; 10.158 ; 10.001 ; 10.817 ; 10.660 ;
; wb_stb_i    ; wb_dat_o[28] ; 10.554 ; 10.432 ; 11.219 ; 11.097 ;
; wb_stb_i    ; wb_dat_o[29] ; 10.283 ; 10.161 ; 10.958 ; 10.836 ;
; wb_stb_i    ; wb_dat_o[30] ; 10.287 ; 10.165 ; 10.949 ; 10.827 ;
; wb_stb_i    ; wb_dat_o[31] ; 9.035  ; 8.878  ; 9.561  ; 9.404  ;
; wb_we_i     ; wb_dat_o[0]  ; 7.914  ; 7.757  ; 8.350  ; 8.193  ;
; wb_we_i     ; wb_dat_o[1]  ; 7.660  ; 7.503  ; 8.091  ; 7.934  ;
; wb_we_i     ; wb_dat_o[2]  ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
; wb_we_i     ; wb_dat_o[3]  ; 8.109  ; 7.952  ; 8.548  ; 8.391  ;
; wb_we_i     ; wb_dat_o[4]  ; 9.787  ; 9.630  ; 10.091 ; 9.934  ;
; wb_we_i     ; wb_dat_o[5]  ; 9.657  ; 9.500  ; 9.998  ; 9.841  ;
; wb_we_i     ; wb_dat_o[6]  ; 9.787  ; 9.630  ; 10.091 ; 9.934  ;
; wb_we_i     ; wb_dat_o[7]  ; 10.269 ; 10.147 ; 10.553 ; 10.431 ;
; wb_we_i     ; wb_dat_o[8]  ; 8.338  ; 8.181  ; 8.773  ; 8.616  ;
; wb_we_i     ; wb_dat_o[9]  ; 9.730  ; 9.573  ; 10.106 ; 9.949  ;
; wb_we_i     ; wb_dat_o[10] ; 9.734  ; 9.612  ; 10.015 ; 9.893  ;
; wb_we_i     ; wb_dat_o[11] ; 9.559  ; 9.402  ; 9.929  ; 9.772  ;
; wb_we_i     ; wb_dat_o[12] ; 8.344  ; 8.187  ; 8.778  ; 8.621  ;
; wb_we_i     ; wb_dat_o[13] ; 8.338  ; 8.181  ; 8.773  ; 8.616  ;
; wb_we_i     ; wb_dat_o[14] ; 9.730  ; 9.573  ; 10.106 ; 9.949  ;
; wb_we_i     ; wb_dat_o[15] ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
; wb_we_i     ; wb_dat_o[16] ; 9.415  ; 9.267  ; 9.782  ; 9.634  ;
; wb_we_i     ; wb_dat_o[17] ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
; wb_we_i     ; wb_dat_o[18] ; 9.734  ; 9.577  ; 10.110 ; 9.953  ;
; wb_we_i     ; wb_dat_o[19] ; 7.914  ; 7.757  ; 8.350  ; 8.193  ;
; wb_we_i     ; wb_dat_o[20] ; 8.570  ; 8.413  ; 8.995  ; 8.838  ;
; wb_we_i     ; wb_dat_o[21] ; 8.286  ; 8.138  ; 8.715  ; 8.567  ;
; wb_we_i     ; wb_dat_o[22] ; 9.138  ; 9.042  ; 9.567  ; 9.471  ;
; wb_we_i     ; wb_dat_o[23] ; 8.344  ; 8.187  ; 8.778  ; 8.621  ;
; wb_we_i     ; wb_dat_o[24] ; 8.570  ; 8.413  ; 8.995  ; 8.838  ;
; wb_we_i     ; wb_dat_o[25] ; 9.734  ; 9.577  ; 10.110 ; 9.953  ;
; wb_we_i     ; wb_dat_o[26] ; 10.008 ; 9.886  ; 10.282 ; 10.160 ;
; wb_we_i     ; wb_dat_o[27] ; 9.867  ; 9.710  ; 10.157 ; 10.000 ;
; wb_we_i     ; wb_dat_o[28] ; 10.269 ; 10.147 ; 10.553 ; 10.431 ;
; wb_we_i     ; wb_dat_o[29] ; 10.008 ; 9.886  ; 10.282 ; 10.160 ;
; wb_we_i     ; wb_dat_o[30] ; 9.999  ; 9.877  ; 10.286 ; 10.164 ;
; wb_we_i     ; wb_dat_o[31] ; 8.611  ; 8.454  ; 9.034  ; 8.877  ;
+-------------+--------------+--------+--------+--------+--------+


+------------------------------------------------------------+
; Minimum Propagation Delay                                  ;
+-------------+--------------+-------+-------+-------+-------+
; Input Port  ; Output Port  ; RR    ; RF    ; FR    ; FF    ;
+-------------+--------------+-------+-------+-------+-------+
; wb_adr_i[0] ; wb_dat_o[0]  ; 4.925 ; 5.192 ; 5.681 ; 5.606 ;
; wb_adr_i[0] ; wb_dat_o[1]  ; 4.817 ; 5.417 ; 5.874 ; 5.484 ;
; wb_adr_i[0] ; wb_dat_o[2]  ; 5.566 ; 5.753 ; 6.154 ; 6.294 ;
; wb_adr_i[0] ; wb_dat_o[3]  ; 5.185 ; 5.560 ; 6.008 ; 5.886 ;
; wb_adr_i[0] ; wb_dat_o[4]  ; 5.518 ; 6.271 ; 6.630 ; 6.247 ;
; wb_adr_i[0] ; wb_dat_o[5]  ; 5.045 ; 6.130 ; 6.520 ; 5.732 ;
; wb_adr_i[0] ; wb_dat_o[6]  ; 5.401 ; 6.304 ; 6.663 ; 6.137 ;
; wb_adr_i[0] ; wb_dat_o[7]  ; 5.888 ; 6.362 ; 6.757 ; 6.634 ;
; wb_adr_i[0] ; wb_dat_o[8]  ; 5.329 ; 5.594 ; 6.030 ; 6.069 ;
; wb_adr_i[0] ; wb_dat_o[9]  ; 6.450 ; 6.601 ; 6.910 ; 7.111 ;
; wb_adr_i[0] ; wb_dat_o[10] ; 6.292 ; 6.420 ; 6.811 ; 6.946 ;
; wb_adr_i[0] ; wb_dat_o[11] ; 5.946 ; 6.030 ; 6.437 ; 6.616 ;
; wb_adr_i[0] ; wb_dat_o[12] ; 4.995 ; 5.025 ; 5.514 ; 5.551 ;
; wb_adr_i[0] ; wb_dat_o[13] ; 5.004 ; 5.036 ; 5.523 ; 5.562 ;
; wb_adr_i[0] ; wb_dat_o[14] ; 5.887 ; 6.048 ; 6.406 ; 6.574 ;
; wb_adr_i[0] ; wb_dat_o[15] ; 5.963 ; 6.061 ; 6.454 ; 6.648 ;
; wb_adr_i[0] ; wb_dat_o[16] ; 5.493 ; 5.598 ; 6.012 ; 6.124 ;
; wb_adr_i[0] ; wb_dat_o[17] ; 5.880 ; 5.953 ; 6.370 ; 6.536 ;
; wb_adr_i[0] ; wb_dat_o[18] ; 6.322 ; 6.466 ; 6.857 ; 7.036 ;
; wb_adr_i[0] ; wb_dat_o[19] ; 4.808 ; 4.817 ; 5.327 ; 5.343 ;
; wb_adr_i[0] ; wb_dat_o[20] ; 5.204 ; 5.270 ; 5.724 ; 5.797 ;
; wb_adr_i[0] ; wb_dat_o[21] ; 5.176 ; 5.129 ; 5.667 ; 5.719 ;
; wb_adr_i[0] ; wb_dat_o[22] ; 5.547 ; 5.580 ; 6.069 ; 6.109 ;
; wb_adr_i[0] ; wb_dat_o[23] ; 5.133 ; 5.176 ; 5.652 ; 5.702 ;
; wb_adr_i[0] ; wb_dat_o[24] ; 5.134 ; 5.184 ; 5.656 ; 5.713 ;
; wb_adr_i[0] ; wb_dat_o[25] ; 6.147 ; 6.332 ; 6.666 ; 6.858 ;
; wb_adr_i[0] ; wb_dat_o[26] ; 5.607 ; 5.692 ; 6.141 ; 6.233 ;
; wb_adr_i[0] ; wb_dat_o[27] ; 5.358 ; 5.475 ; 5.892 ; 6.016 ;
; wb_adr_i[0] ; wb_dat_o[28] ; 5.540 ; 5.605 ; 6.074 ; 6.146 ;
; wb_adr_i[0] ; wb_dat_o[29] ; 5.827 ; 5.863 ; 6.337 ; 6.451 ;
; wb_adr_i[0] ; wb_dat_o[30] ; 5.759 ; 5.822 ; 6.262 ; 6.305 ;
; wb_adr_i[0] ; wb_dat_o[31] ; 5.311 ; 5.376 ; 5.801 ; 5.873 ;
; wb_adr_i[1] ; wb_dat_o[0]  ; 4.978 ; 5.189 ; 5.828 ; 5.710 ;
; wb_adr_i[1] ; wb_dat_o[1]  ; 4.870 ; 5.419 ; 6.016 ; 5.588 ;
; wb_adr_i[1] ; wb_dat_o[2]  ; 5.615 ; 5.755 ; 6.296 ; 6.429 ;
; wb_adr_i[1] ; wb_dat_o[3]  ; 5.238 ; 5.562 ; 6.150 ; 5.990 ;
; wb_adr_i[1] ; wb_dat_o[4]  ; 5.571 ; 6.232 ; 6.813 ; 6.351 ;
; wb_adr_i[1] ; wb_dat_o[5]  ; 5.098 ; 6.102 ; 6.735 ; 5.836 ;
; wb_adr_i[1] ; wb_dat_o[6]  ; 5.454 ; 6.263 ; 6.848 ; 6.241 ;
; wb_adr_i[1] ; wb_dat_o[7]  ; 5.941 ; 6.322 ; 6.941 ; 6.738 ;
; wb_adr_i[1] ; wb_dat_o[8]  ; 5.382 ; 5.530 ; 6.201 ; 6.173 ;
; wb_adr_i[1] ; wb_dat_o[9]  ; 6.371 ; 6.572 ; 7.126 ; 7.277 ;
; wb_adr_i[1] ; wb_dat_o[10] ; 6.272 ; 6.407 ; 6.968 ; 7.096 ;
; wb_adr_i[1] ; wb_dat_o[11] ; 5.898 ; 6.077 ; 6.622 ; 6.748 ;
; wb_adr_i[1] ; wb_dat_o[12] ; 4.975 ; 5.012 ; 5.671 ; 5.701 ;
; wb_adr_i[1] ; wb_dat_o[13] ; 4.984 ; 5.023 ; 5.680 ; 5.712 ;
; wb_adr_i[1] ; wb_dat_o[14] ; 5.867 ; 6.035 ; 6.563 ; 6.724 ;
; wb_adr_i[1] ; wb_dat_o[15] ; 5.915 ; 6.109 ; 6.639 ; 6.780 ;
; wb_adr_i[1] ; wb_dat_o[16] ; 5.473 ; 5.585 ; 6.169 ; 6.274 ;
; wb_adr_i[1] ; wb_dat_o[17] ; 5.831 ; 5.997 ; 6.556 ; 6.669 ;
; wb_adr_i[1] ; wb_dat_o[18] ; 6.318 ; 6.497 ; 6.998 ; 7.206 ;
; wb_adr_i[1] ; wb_dat_o[19] ; 4.788 ; 4.804 ; 5.484 ; 5.493 ;
; wb_adr_i[1] ; wb_dat_o[20] ; 5.185 ; 5.258 ; 5.880 ; 5.946 ;
; wb_adr_i[1] ; wb_dat_o[21] ; 5.128 ; 5.180 ; 5.852 ; 5.851 ;
; wb_adr_i[1] ; wb_dat_o[22] ; 5.530 ; 5.570 ; 6.223 ; 6.256 ;
; wb_adr_i[1] ; wb_dat_o[23] ; 5.113 ; 5.163 ; 5.809 ; 5.852 ;
; wb_adr_i[1] ; wb_dat_o[24] ; 5.117 ; 5.174 ; 5.810 ; 5.860 ;
; wb_adr_i[1] ; wb_dat_o[25] ; 6.127 ; 6.319 ; 6.823 ; 7.008 ;
; wb_adr_i[1] ; wb_dat_o[26] ; 5.602 ; 5.694 ; 6.283 ; 6.368 ;
; wb_adr_i[1] ; wb_dat_o[27] ; 5.353 ; 5.477 ; 6.034 ; 6.151 ;
; wb_adr_i[1] ; wb_dat_o[28] ; 5.535 ; 5.607 ; 6.216 ; 6.281 ;
; wb_adr_i[1] ; wb_dat_o[29] ; 5.798 ; 5.912 ; 6.503 ; 6.564 ;
; wb_adr_i[1] ; wb_dat_o[30] ; 5.723 ; 5.766 ; 6.435 ; 6.509 ;
; wb_adr_i[1] ; wb_dat_o[31] ; 5.262 ; 5.334 ; 5.987 ; 6.052 ;
; wb_adr_i[2] ; wb_dat_o[0]  ; 6.208 ; 6.089 ; 6.375 ; 6.586 ;
; wb_adr_i[2] ; wb_dat_o[1]  ; 6.396 ; 5.967 ; 6.267 ; 6.816 ;
; wb_adr_i[2] ; wb_dat_o[2]  ; 6.676 ; 6.809 ; 7.012 ; 7.152 ;
; wb_adr_i[2] ; wb_dat_o[3]  ; 6.530 ; 6.369 ; 6.635 ; 6.959 ;
; wb_adr_i[2] ; wb_dat_o[4]  ; 7.193 ; 6.730 ; 6.968 ; 7.629 ;
; wb_adr_i[2] ; wb_dat_o[5]  ; 7.115 ; 6.215 ; 6.495 ; 7.499 ;
; wb_adr_i[2] ; wb_dat_o[6]  ; 7.228 ; 6.620 ; 6.851 ; 7.660 ;
; wb_adr_i[2] ; wb_dat_o[7]  ; 7.321 ; 7.117 ; 7.338 ; 7.719 ;
; wb_adr_i[2] ; wb_dat_o[8]  ; 6.581 ; 6.552 ; 6.779 ; 6.927 ;
; wb_adr_i[2] ; wb_dat_o[9]  ; 7.506 ; 7.657 ; 7.768 ; 7.969 ;
; wb_adr_i[2] ; wb_dat_o[10] ; 7.348 ; 7.476 ; 7.669 ; 7.804 ;
; wb_adr_i[2] ; wb_dat_o[11] ; 7.002 ; 7.128 ; 7.295 ; 7.474 ;
; wb_adr_i[2] ; wb_dat_o[12] ; 6.051 ; 6.081 ; 6.372 ; 6.409 ;
; wb_adr_i[2] ; wb_dat_o[13] ; 6.060 ; 6.092 ; 6.381 ; 6.420 ;
; wb_adr_i[2] ; wb_dat_o[14] ; 6.943 ; 7.104 ; 7.264 ; 7.432 ;
; wb_adr_i[2] ; wb_dat_o[15] ; 7.019 ; 7.160 ; 7.312 ; 7.506 ;
; wb_adr_i[2] ; wb_dat_o[16] ; 6.549 ; 6.654 ; 6.870 ; 6.982 ;
; wb_adr_i[2] ; wb_dat_o[17] ; 6.936 ; 7.049 ; 7.228 ; 7.394 ;
; wb_adr_i[2] ; wb_dat_o[18] ; 7.378 ; 7.586 ; 7.715 ; 7.894 ;
; wb_adr_i[2] ; wb_dat_o[19] ; 5.864 ; 5.873 ; 6.185 ; 6.201 ;
; wb_adr_i[2] ; wb_dat_o[20] ; 6.260 ; 6.326 ; 6.582 ; 6.655 ;
; wb_adr_i[2] ; wb_dat_o[21] ; 6.232 ; 6.231 ; 6.525 ; 6.577 ;
; wb_adr_i[2] ; wb_dat_o[22] ; 6.603 ; 6.636 ; 6.927 ; 6.967 ;
; wb_adr_i[2] ; wb_dat_o[23] ; 6.189 ; 6.232 ; 6.510 ; 6.560 ;
; wb_adr_i[2] ; wb_dat_o[24] ; 6.190 ; 6.240 ; 6.514 ; 6.571 ;
; wb_adr_i[2] ; wb_dat_o[25] ; 7.203 ; 7.388 ; 7.524 ; 7.716 ;
; wb_adr_i[2] ; wb_dat_o[26] ; 6.663 ; 6.748 ; 6.999 ; 7.091 ;
; wb_adr_i[2] ; wb_dat_o[27] ; 6.414 ; 6.531 ; 6.750 ; 6.874 ;
; wb_adr_i[2] ; wb_dat_o[28] ; 6.596 ; 6.661 ; 6.932 ; 7.004 ;
; wb_adr_i[2] ; wb_dat_o[29] ; 6.883 ; 6.944 ; 7.195 ; 7.309 ;
; wb_adr_i[2] ; wb_dat_o[30] ; 6.815 ; 6.889 ; 7.120 ; 7.163 ;
; wb_adr_i[2] ; wb_dat_o[31] ; 6.367 ; 6.432 ; 6.659 ; 6.731 ;
; wb_adr_i[3] ; wb_dat_o[0]  ; 6.119 ; 6.000 ; 6.273 ; 6.484 ;
; wb_adr_i[3] ; wb_dat_o[1]  ; 6.307 ; 5.878 ; 6.165 ; 6.714 ;
; wb_adr_i[3] ; wb_dat_o[2]  ; 6.587 ; 6.720 ; 6.910 ; 7.050 ;
; wb_adr_i[3] ; wb_dat_o[3]  ; 6.441 ; 6.280 ; 6.533 ; 6.857 ;
; wb_adr_i[3] ; wb_dat_o[4]  ; 7.104 ; 6.641 ; 6.866 ; 7.527 ;
; wb_adr_i[3] ; wb_dat_o[5]  ; 7.026 ; 6.126 ; 6.393 ; 7.397 ;
; wb_adr_i[3] ; wb_dat_o[6]  ; 7.139 ; 6.531 ; 6.749 ; 7.558 ;
; wb_adr_i[3] ; wb_dat_o[7]  ; 7.232 ; 7.028 ; 7.236 ; 7.617 ;
; wb_adr_i[3] ; wb_dat_o[8]  ; 6.492 ; 6.463 ; 6.677 ; 6.825 ;
; wb_adr_i[3] ; wb_dat_o[9]  ; 7.417 ; 7.568 ; 7.666 ; 7.867 ;
; wb_adr_i[3] ; wb_dat_o[10] ; 7.259 ; 7.387 ; 7.567 ; 7.702 ;
; wb_adr_i[3] ; wb_dat_o[11] ; 6.913 ; 7.039 ; 7.193 ; 7.372 ;
; wb_adr_i[3] ; wb_dat_o[12] ; 5.962 ; 5.992 ; 6.270 ; 6.307 ;
; wb_adr_i[3] ; wb_dat_o[13] ; 5.971 ; 6.003 ; 6.279 ; 6.318 ;
; wb_adr_i[3] ; wb_dat_o[14] ; 6.854 ; 7.015 ; 7.162 ; 7.330 ;
; wb_adr_i[3] ; wb_dat_o[15] ; 6.930 ; 7.071 ; 7.210 ; 7.404 ;
; wb_adr_i[3] ; wb_dat_o[16] ; 6.460 ; 6.565 ; 6.768 ; 6.880 ;
; wb_adr_i[3] ; wb_dat_o[17] ; 6.847 ; 6.960 ; 7.126 ; 7.292 ;
; wb_adr_i[3] ; wb_dat_o[18] ; 7.289 ; 7.497 ; 7.613 ; 7.792 ;
; wb_adr_i[3] ; wb_dat_o[19] ; 5.775 ; 5.784 ; 6.083 ; 6.099 ;
; wb_adr_i[3] ; wb_dat_o[20] ; 6.171 ; 6.237 ; 6.480 ; 6.553 ;
; wb_adr_i[3] ; wb_dat_o[21] ; 6.143 ; 6.142 ; 6.423 ; 6.475 ;
; wb_adr_i[3] ; wb_dat_o[22] ; 6.514 ; 6.547 ; 6.825 ; 6.865 ;
; wb_adr_i[3] ; wb_dat_o[23] ; 6.100 ; 6.143 ; 6.408 ; 6.458 ;
; wb_adr_i[3] ; wb_dat_o[24] ; 6.101 ; 6.151 ; 6.412 ; 6.469 ;
; wb_adr_i[3] ; wb_dat_o[25] ; 7.114 ; 7.299 ; 7.422 ; 7.614 ;
; wb_adr_i[3] ; wb_dat_o[26] ; 6.574 ; 6.659 ; 6.897 ; 6.989 ;
; wb_adr_i[3] ; wb_dat_o[27] ; 6.325 ; 6.442 ; 6.648 ; 6.772 ;
; wb_adr_i[3] ; wb_dat_o[28] ; 6.507 ; 6.572 ; 6.830 ; 6.902 ;
; wb_adr_i[3] ; wb_dat_o[29] ; 6.794 ; 6.855 ; 7.093 ; 7.207 ;
; wb_adr_i[3] ; wb_dat_o[30] ; 6.726 ; 6.800 ; 7.018 ; 7.061 ;
; wb_adr_i[3] ; wb_dat_o[31] ; 6.278 ; 6.343 ; 6.557 ; 6.629 ;
; wb_adr_i[4] ; wb_dat_o[0]  ; 6.195 ; 6.076 ; 6.375 ; 6.586 ;
; wb_adr_i[4] ; wb_dat_o[1]  ; 6.383 ; 5.954 ; 6.267 ; 6.816 ;
; wb_adr_i[4] ; wb_dat_o[2]  ; 6.663 ; 6.796 ; 7.012 ; 7.152 ;
; wb_adr_i[4] ; wb_dat_o[3]  ; 6.517 ; 6.356 ; 6.635 ; 6.959 ;
; wb_adr_i[4] ; wb_dat_o[4]  ; 7.180 ; 6.717 ; 6.968 ; 7.629 ;
; wb_adr_i[4] ; wb_dat_o[5]  ; 7.102 ; 6.202 ; 6.495 ; 7.499 ;
; wb_adr_i[4] ; wb_dat_o[6]  ; 7.215 ; 6.607 ; 6.851 ; 7.660 ;
; wb_adr_i[4] ; wb_dat_o[7]  ; 7.308 ; 7.104 ; 7.338 ; 7.719 ;
; wb_adr_i[4] ; wb_dat_o[8]  ; 6.568 ; 6.539 ; 6.779 ; 6.927 ;
; wb_adr_i[4] ; wb_dat_o[9]  ; 7.493 ; 7.644 ; 7.768 ; 7.969 ;
; wb_adr_i[4] ; wb_dat_o[10] ; 7.335 ; 7.463 ; 7.669 ; 7.804 ;
; wb_adr_i[4] ; wb_dat_o[11] ; 6.989 ; 7.115 ; 7.295 ; 7.474 ;
; wb_adr_i[4] ; wb_dat_o[12] ; 6.038 ; 6.068 ; 6.372 ; 6.409 ;
; wb_adr_i[4] ; wb_dat_o[13] ; 6.047 ; 6.079 ; 6.381 ; 6.420 ;
; wb_adr_i[4] ; wb_dat_o[14] ; 6.930 ; 7.091 ; 7.264 ; 7.432 ;
; wb_adr_i[4] ; wb_dat_o[15] ; 7.006 ; 7.147 ; 7.312 ; 7.506 ;
; wb_adr_i[4] ; wb_dat_o[16] ; 6.536 ; 6.641 ; 6.870 ; 6.982 ;
; wb_adr_i[4] ; wb_dat_o[17] ; 6.923 ; 7.036 ; 7.228 ; 7.394 ;
; wb_adr_i[4] ; wb_dat_o[18] ; 7.365 ; 7.573 ; 7.715 ; 7.894 ;
; wb_adr_i[4] ; wb_dat_o[19] ; 5.851 ; 5.860 ; 6.185 ; 6.201 ;
; wb_adr_i[4] ; wb_dat_o[20] ; 6.247 ; 6.313 ; 6.582 ; 6.655 ;
; wb_adr_i[4] ; wb_dat_o[21] ; 6.219 ; 6.218 ; 6.525 ; 6.577 ;
; wb_adr_i[4] ; wb_dat_o[22] ; 6.590 ; 6.623 ; 6.927 ; 6.967 ;
; wb_adr_i[4] ; wb_dat_o[23] ; 6.176 ; 6.219 ; 6.510 ; 6.560 ;
; wb_adr_i[4] ; wb_dat_o[24] ; 6.177 ; 6.227 ; 6.514 ; 6.571 ;
; wb_adr_i[4] ; wb_dat_o[25] ; 7.190 ; 7.375 ; 7.524 ; 7.716 ;
; wb_adr_i[4] ; wb_dat_o[26] ; 6.650 ; 6.735 ; 6.999 ; 7.091 ;
; wb_adr_i[4] ; wb_dat_o[27] ; 6.401 ; 6.518 ; 6.750 ; 6.874 ;
; wb_adr_i[4] ; wb_dat_o[28] ; 6.583 ; 6.648 ; 6.932 ; 7.004 ;
; wb_adr_i[4] ; wb_dat_o[29] ; 6.870 ; 6.931 ; 7.195 ; 7.309 ;
; wb_adr_i[4] ; wb_dat_o[30] ; 6.802 ; 6.876 ; 7.120 ; 7.163 ;
; wb_adr_i[4] ; wb_dat_o[31] ; 6.354 ; 6.419 ; 6.659 ; 6.731 ;
; wb_adr_i[5] ; wb_dat_o[0]  ; 6.361 ; 6.242 ; 6.543 ; 6.754 ;
; wb_adr_i[5] ; wb_dat_o[1]  ; 6.549 ; 6.120 ; 6.435 ; 6.984 ;
; wb_adr_i[5] ; wb_dat_o[2]  ; 6.829 ; 6.962 ; 7.180 ; 7.320 ;
; wb_adr_i[5] ; wb_dat_o[3]  ; 6.683 ; 6.522 ; 6.803 ; 7.127 ;
; wb_adr_i[5] ; wb_dat_o[4]  ; 7.346 ; 6.883 ; 7.136 ; 7.797 ;
; wb_adr_i[5] ; wb_dat_o[5]  ; 7.268 ; 6.368 ; 6.663 ; 7.667 ;
; wb_adr_i[5] ; wb_dat_o[6]  ; 7.381 ; 6.773 ; 7.019 ; 7.828 ;
; wb_adr_i[5] ; wb_dat_o[7]  ; 7.474 ; 7.270 ; 7.506 ; 7.887 ;
; wb_adr_i[5] ; wb_dat_o[8]  ; 6.734 ; 6.705 ; 6.947 ; 7.095 ;
; wb_adr_i[5] ; wb_dat_o[9]  ; 7.659 ; 7.810 ; 7.936 ; 8.137 ;
; wb_adr_i[5] ; wb_dat_o[10] ; 7.501 ; 7.629 ; 7.837 ; 7.972 ;
; wb_adr_i[5] ; wb_dat_o[11] ; 7.155 ; 7.281 ; 7.463 ; 7.642 ;
; wb_adr_i[5] ; wb_dat_o[12] ; 6.204 ; 6.234 ; 6.540 ; 6.577 ;
; wb_adr_i[5] ; wb_dat_o[13] ; 6.213 ; 6.245 ; 6.549 ; 6.588 ;
; wb_adr_i[5] ; wb_dat_o[14] ; 7.096 ; 7.257 ; 7.432 ; 7.600 ;
; wb_adr_i[5] ; wb_dat_o[15] ; 7.172 ; 7.313 ; 7.480 ; 7.674 ;
; wb_adr_i[5] ; wb_dat_o[16] ; 6.702 ; 6.807 ; 7.038 ; 7.150 ;
; wb_adr_i[5] ; wb_dat_o[17] ; 7.089 ; 7.202 ; 7.396 ; 7.562 ;
; wb_adr_i[5] ; wb_dat_o[18] ; 7.531 ; 7.739 ; 7.883 ; 8.062 ;
; wb_adr_i[5] ; wb_dat_o[19] ; 6.017 ; 6.026 ; 6.353 ; 6.369 ;
; wb_adr_i[5] ; wb_dat_o[20] ; 6.413 ; 6.479 ; 6.750 ; 6.823 ;
; wb_adr_i[5] ; wb_dat_o[21] ; 6.385 ; 6.384 ; 6.693 ; 6.745 ;
; wb_adr_i[5] ; wb_dat_o[22] ; 6.756 ; 6.789 ; 7.095 ; 7.135 ;
; wb_adr_i[5] ; wb_dat_o[23] ; 6.342 ; 6.385 ; 6.678 ; 6.728 ;
; wb_adr_i[5] ; wb_dat_o[24] ; 6.343 ; 6.393 ; 6.682 ; 6.739 ;
; wb_adr_i[5] ; wb_dat_o[25] ; 7.356 ; 7.541 ; 7.692 ; 7.884 ;
; wb_adr_i[5] ; wb_dat_o[26] ; 6.816 ; 6.901 ; 7.167 ; 7.259 ;
; wb_adr_i[5] ; wb_dat_o[27] ; 6.567 ; 6.684 ; 6.918 ; 7.042 ;
; wb_adr_i[5] ; wb_dat_o[28] ; 6.749 ; 6.814 ; 7.100 ; 7.172 ;
; wb_adr_i[5] ; wb_dat_o[29] ; 7.036 ; 7.097 ; 7.363 ; 7.477 ;
; wb_adr_i[5] ; wb_dat_o[30] ; 6.968 ; 7.042 ; 7.288 ; 7.331 ;
; wb_adr_i[5] ; wb_dat_o[31] ; 6.520 ; 6.585 ; 6.827 ; 6.899 ;
; wb_cyc_i    ; wb_dat_o[0]  ; 4.535 ; 4.495 ; 5.215 ; 5.175 ;
; wb_cyc_i    ; wb_dat_o[1]  ; 4.396 ; 4.356 ; 5.058 ; 5.018 ;
; wb_cyc_i    ; wb_dat_o[2]  ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
; wb_cyc_i    ; wb_dat_o[3]  ; 4.636 ; 4.596 ; 5.328 ; 5.288 ;
; wb_cyc_i    ; wb_dat_o[4]  ; 5.497 ; 5.457 ; 6.338 ; 6.298 ;
; wb_cyc_i    ; wb_dat_o[5]  ; 5.441 ; 5.401 ; 6.261 ; 6.221 ;
; wb_cyc_i    ; wb_dat_o[6]  ; 5.497 ; 5.457 ; 6.338 ; 6.298 ;
; wb_cyc_i    ; wb_dat_o[7]  ; 5.835 ; 5.753 ; 6.703 ; 6.621 ;
; wb_cyc_i    ; wb_dat_o[8]  ; 4.763 ; 4.723 ; 5.470 ; 5.430 ;
; wb_cyc_i    ; wb_dat_o[9]  ; 5.487 ; 5.447 ; 6.296 ; 6.256 ;
; wb_cyc_i    ; wb_dat_o[10] ; 5.548 ; 5.466 ; 6.383 ; 6.301 ;
; wb_cyc_i    ; wb_dat_o[11] ; 5.395 ; 5.355 ; 6.195 ; 6.155 ;
; wb_cyc_i    ; wb_dat_o[12] ; 4.767 ; 4.727 ; 5.475 ; 5.435 ;
; wb_cyc_i    ; wb_dat_o[13] ; 4.763 ; 4.723 ; 5.470 ; 5.430 ;
; wb_cyc_i    ; wb_dat_o[14] ; 5.487 ; 5.447 ; 6.296 ; 6.256 ;
; wb_cyc_i    ; wb_dat_o[15] ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
; wb_cyc_i    ; wb_dat_o[16] ; 5.310 ; 5.265 ; 6.105 ; 6.060 ;
; wb_cyc_i    ; wb_dat_o[17] ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
; wb_cyc_i    ; wb_dat_o[18] ; 5.487 ; 5.447 ; 6.297 ; 6.257 ;
; wb_cyc_i    ; wb_dat_o[19] ; 4.535 ; 4.495 ; 5.215 ; 5.175 ;
; wb_cyc_i    ; wb_dat_o[20] ; 4.887 ; 4.847 ; 5.616 ; 5.576 ;
; wb_cyc_i    ; wb_dat_o[21] ; 4.726 ; 4.681 ; 5.443 ; 5.398 ;
; wb_cyc_i    ; wb_dat_o[22] ; 5.361 ; 5.317 ; 6.078 ; 6.034 ;
; wb_cyc_i    ; wb_dat_o[23] ; 4.767 ; 4.727 ; 5.475 ; 5.435 ;
; wb_cyc_i    ; wb_dat_o[24] ; 4.887 ; 4.847 ; 5.616 ; 5.576 ;
; wb_cyc_i    ; wb_dat_o[25] ; 5.487 ; 5.447 ; 6.297 ; 6.257 ;
; wb_cyc_i    ; wb_dat_o[26] ; 5.701 ; 5.619 ; 6.554 ; 6.472 ;
; wb_cyc_i    ; wb_dat_o[27] ; 5.550 ; 5.510 ; 6.400 ; 6.360 ;
; wb_cyc_i    ; wb_dat_o[28] ; 5.835 ; 5.753 ; 6.703 ; 6.621 ;
; wb_cyc_i    ; wb_dat_o[29] ; 5.701 ; 5.619 ; 6.554 ; 6.472 ;
; wb_cyc_i    ; wb_dat_o[30] ; 5.689 ; 5.607 ; 6.540 ; 6.458 ;
; wb_cyc_i    ; wb_dat_o[31] ; 4.909 ; 4.869 ; 5.640 ; 5.600 ;
; wb_stb_i    ; wb_dat_o[0]  ; 4.676 ; 4.636 ; 5.365 ; 5.325 ;
; wb_stb_i    ; wb_dat_o[1]  ; 4.537 ; 4.497 ; 5.208 ; 5.168 ;
; wb_stb_i    ; wb_dat_o[2]  ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
; wb_stb_i    ; wb_dat_o[3]  ; 4.777 ; 4.737 ; 5.478 ; 5.438 ;
; wb_stb_i    ; wb_dat_o[4]  ; 5.638 ; 5.598 ; 6.488 ; 6.448 ;
; wb_stb_i    ; wb_dat_o[5]  ; 5.582 ; 5.542 ; 6.411 ; 6.371 ;
; wb_stb_i    ; wb_dat_o[6]  ; 5.638 ; 5.598 ; 6.488 ; 6.448 ;
; wb_stb_i    ; wb_dat_o[7]  ; 5.976 ; 5.894 ; 6.853 ; 6.771 ;
; wb_stb_i    ; wb_dat_o[8]  ; 4.904 ; 4.864 ; 5.620 ; 5.580 ;
; wb_stb_i    ; wb_dat_o[9]  ; 5.628 ; 5.588 ; 6.446 ; 6.406 ;
; wb_stb_i    ; wb_dat_o[10] ; 5.689 ; 5.607 ; 6.533 ; 6.451 ;
; wb_stb_i    ; wb_dat_o[11] ; 5.536 ; 5.496 ; 6.345 ; 6.305 ;
; wb_stb_i    ; wb_dat_o[12] ; 4.908 ; 4.868 ; 5.625 ; 5.585 ;
; wb_stb_i    ; wb_dat_o[13] ; 4.904 ; 4.864 ; 5.620 ; 5.580 ;
; wb_stb_i    ; wb_dat_o[14] ; 5.628 ; 5.588 ; 6.446 ; 6.406 ;
; wb_stb_i    ; wb_dat_o[15] ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
; wb_stb_i    ; wb_dat_o[16] ; 5.451 ; 5.406 ; 6.255 ; 6.210 ;
; wb_stb_i    ; wb_dat_o[17] ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
; wb_stb_i    ; wb_dat_o[18] ; 5.628 ; 5.588 ; 6.447 ; 6.407 ;
; wb_stb_i    ; wb_dat_o[19] ; 4.676 ; 4.636 ; 5.365 ; 5.325 ;
; wb_stb_i    ; wb_dat_o[20] ; 5.028 ; 4.988 ; 5.766 ; 5.726 ;
; wb_stb_i    ; wb_dat_o[21] ; 4.867 ; 4.822 ; 5.593 ; 5.548 ;
; wb_stb_i    ; wb_dat_o[22] ; 5.502 ; 5.458 ; 6.228 ; 6.184 ;
; wb_stb_i    ; wb_dat_o[23] ; 4.908 ; 4.868 ; 5.625 ; 5.585 ;
; wb_stb_i    ; wb_dat_o[24] ; 5.028 ; 4.988 ; 5.766 ; 5.726 ;
; wb_stb_i    ; wb_dat_o[25] ; 5.628 ; 5.588 ; 6.447 ; 6.407 ;
; wb_stb_i    ; wb_dat_o[26] ; 5.842 ; 5.760 ; 6.704 ; 6.622 ;
; wb_stb_i    ; wb_dat_o[27] ; 5.691 ; 5.651 ; 6.550 ; 6.510 ;
; wb_stb_i    ; wb_dat_o[28] ; 5.976 ; 5.894 ; 6.853 ; 6.771 ;
; wb_stb_i    ; wb_dat_o[29] ; 5.842 ; 5.760 ; 6.704 ; 6.622 ;
; wb_stb_i    ; wb_dat_o[30] ; 5.830 ; 5.748 ; 6.690 ; 6.608 ;
; wb_stb_i    ; wb_dat_o[31] ; 5.050 ; 5.010 ; 5.790 ; 5.750 ;
; wb_we_i     ; wb_dat_o[0]  ; 4.513 ; 4.473 ; 5.008 ; 4.968 ;
; wb_we_i     ; wb_dat_o[1]  ; 4.356 ; 4.316 ; 4.869 ; 4.829 ;
; wb_we_i     ; wb_dat_o[2]  ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
; wb_we_i     ; wb_dat_o[3]  ; 4.626 ; 4.586 ; 5.109 ; 5.069 ;
; wb_we_i     ; wb_dat_o[4]  ; 5.636 ; 5.596 ; 5.970 ; 5.930 ;
; wb_we_i     ; wb_dat_o[5]  ; 5.559 ; 5.519 ; 5.914 ; 5.874 ;
; wb_we_i     ; wb_dat_o[6]  ; 5.636 ; 5.596 ; 5.970 ; 5.930 ;
; wb_we_i     ; wb_dat_o[7]  ; 6.001 ; 5.919 ; 6.308 ; 6.226 ;
; wb_we_i     ; wb_dat_o[8]  ; 4.768 ; 4.728 ; 5.236 ; 5.196 ;
; wb_we_i     ; wb_dat_o[9]  ; 5.594 ; 5.554 ; 5.960 ; 5.920 ;
; wb_we_i     ; wb_dat_o[10] ; 5.681 ; 5.599 ; 6.021 ; 5.939 ;
; wb_we_i     ; wb_dat_o[11] ; 5.493 ; 5.453 ; 5.868 ; 5.828 ;
; wb_we_i     ; wb_dat_o[12] ; 4.773 ; 4.733 ; 5.240 ; 5.200 ;
; wb_we_i     ; wb_dat_o[13] ; 4.768 ; 4.728 ; 5.236 ; 5.196 ;
; wb_we_i     ; wb_dat_o[14] ; 5.594 ; 5.554 ; 5.960 ; 5.920 ;
; wb_we_i     ; wb_dat_o[15] ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
; wb_we_i     ; wb_dat_o[16] ; 5.403 ; 5.358 ; 5.783 ; 5.738 ;
; wb_we_i     ; wb_dat_o[17] ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
; wb_we_i     ; wb_dat_o[18] ; 5.595 ; 5.555 ; 5.960 ; 5.920 ;
; wb_we_i     ; wb_dat_o[19] ; 4.513 ; 4.473 ; 5.008 ; 4.968 ;
; wb_we_i     ; wb_dat_o[20] ; 4.914 ; 4.874 ; 5.360 ; 5.320 ;
; wb_we_i     ; wb_dat_o[21] ; 4.741 ; 4.696 ; 5.199 ; 5.154 ;
; wb_we_i     ; wb_dat_o[22] ; 5.376 ; 5.332 ; 5.834 ; 5.790 ;
; wb_we_i     ; wb_dat_o[23] ; 4.773 ; 4.733 ; 5.240 ; 5.200 ;
; wb_we_i     ; wb_dat_o[24] ; 4.914 ; 4.874 ; 5.360 ; 5.320 ;
; wb_we_i     ; wb_dat_o[25] ; 5.595 ; 5.555 ; 5.960 ; 5.920 ;
; wb_we_i     ; wb_dat_o[26] ; 5.852 ; 5.770 ; 6.174 ; 6.092 ;
; wb_we_i     ; wb_dat_o[27] ; 5.698 ; 5.658 ; 6.023 ; 5.983 ;
; wb_we_i     ; wb_dat_o[28] ; 6.001 ; 5.919 ; 6.308 ; 6.226 ;
; wb_we_i     ; wb_dat_o[29] ; 5.852 ; 5.770 ; 6.174 ; 6.092 ;
; wb_we_i     ; wb_dat_o[30] ; 5.838 ; 5.756 ; 6.162 ; 6.080 ;
; wb_we_i     ; wb_dat_o[31] ; 4.938 ; 4.898 ; 5.382 ; 5.342 ;
+-------------+--------------+-------+-------+-------+-------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Board Trace Model Assignments                                                                                                                                                                                                                                                                                                                                                                                    ;
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
; Pin           ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ;
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
; one_o         ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; zero_o        ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[0]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[1]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[2]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[3]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[4]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[5]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[6]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[7]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[8]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[9]   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[10]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[11]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[12]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[13]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[14]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[15]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[16]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[17]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[18]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[19]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[20]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[21]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[22]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[23]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[24]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[25]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[26]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[27]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[28]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[29]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[30]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_dat_o[31]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_ack_o      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_err_o      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; wb_rty_o      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; ~ALTERA_NCEO~ ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; ~ALTERA_DCLK~ ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+


+-------------------------------------------------------------------+
; Input Transition Times                                            ;
+----------------+--------------+-----------------+-----------------+
; Pin            ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ;
+----------------+--------------+-----------------+-----------------+
; wb_cti_i[0]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_cti_i[1]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_cti_i[2]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_sel_i[0]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_sel_i[1]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_sel_i[2]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_sel_i[3]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_clk_i       ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_rst_i       ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_adr_i[1]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_adr_i[2]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_adr_i[3]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_adr_i[4]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_adr_i[5]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_adr_i[0]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_cyc_i       ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_stb_i       ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_we_i        ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[0]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[1]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[2]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[3]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[4]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[5]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[6]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[7]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[8]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[9]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[10]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[11]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[12]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[13]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[14]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[15]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[16]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[17]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[18]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[19]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[20]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[21]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[22]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[23]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[24]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[25]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[26]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[27]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[28]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[29]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[30]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; wb_dat_i[31]   ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; ~ALTERA_DATA0~ ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; ~ALTERA_ASDO~  ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; ~ALTERA_NCSO~  ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
+----------------+--------------+-----------------+-----------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Signal Integrity Metrics (Slow 1200mv 0c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                            ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; one_o         ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; zero_o        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[0]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[1]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[2]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[3]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[4]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[5]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[6]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[7]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[8]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[9]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[10]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[11]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[12]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[13]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[14]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[15]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[16]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00339 V          ; 0.206 V                              ; 0.007 V                              ; 2.77e-10 s                  ; 3.24e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00339 V         ; 0.206 V                             ; 0.007 V                             ; 2.77e-10 s                 ; 3.24e-10 s                 ; Yes                       ; Yes                       ;
; wb_dat_o[17]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[18]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[19]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[20]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[21]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00339 V          ; 0.206 V                              ; 0.007 V                              ; 2.77e-10 s                  ; 3.24e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00339 V         ; 0.206 V                             ; 0.007 V                             ; 2.77e-10 s                 ; 3.24e-10 s                 ; Yes                       ; Yes                       ;
; wb_dat_o[22]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.34 V              ; -0.00668 V          ; 0.218 V                              ; 0.076 V                              ; 1.92e-09 s                  ; 1.81e-09 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.34 V             ; -0.00668 V         ; 0.218 V                             ; 0.076 V                             ; 1.92e-09 s                 ; 1.81e-09 s                 ; No                        ; Yes                       ;
; wb_dat_o[23]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[24]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[25]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[26]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[27]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[28]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[29]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[30]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.16e-09 V                   ; 2.37 V              ; -0.00861 V          ; 0.168 V                              ; 0.038 V                              ; 6.79e-10 s                  ; 6.49e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 5.16e-09 V                  ; 2.37 V             ; -0.00861 V         ; 0.168 V                             ; 0.038 V                             ; 6.79e-10 s                 ; 6.49e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[31]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00317 V          ; 0.179 V                              ; 0.007 V                              ; 4.7e-10 s                   ; 4.72e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00317 V         ; 0.179 V                             ; 0.007 V                             ; 4.7e-10 s                  ; 4.72e-10 s                 ; No                        ; Yes                       ;
; wb_ack_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.34 V              ; -0.00668 V          ; 0.218 V                              ; 0.076 V                              ; 1.92e-09 s                  ; 1.81e-09 s                  ; No                         ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.34 V             ; -0.00668 V         ; 0.218 V                             ; 0.076 V                             ; 1.92e-09 s                 ; 1.81e-09 s                 ; No                        ; Yes                       ;
; wb_err_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00339 V          ; 0.206 V                              ; 0.007 V                              ; 2.77e-10 s                  ; 3.24e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00339 V         ; 0.206 V                             ; 0.007 V                             ; 2.77e-10 s                 ; 3.24e-10 s                 ; Yes                       ; Yes                       ;
; wb_rty_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.29e-09 V                   ; 2.39 V              ; -0.00339 V          ; 0.206 V                              ; 0.007 V                              ; 2.77e-10 s                  ; 3.24e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.29e-09 V                  ; 2.39 V             ; -0.00339 V         ; 0.206 V                             ; 0.007 V                             ; 2.77e-10 s                 ; 3.24e-10 s                 ; Yes                       ; Yes                       ;
; ~ALTERA_NCEO~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.76e-09 V                   ; 2.4 V               ; -0.034 V            ; 0.102 V                              ; 0.065 V                              ; 2.49e-10 s                  ; 3.49e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.76e-09 V                  ; 2.4 V              ; -0.034 V           ; 0.102 V                             ; 0.065 V                             ; 2.49e-10 s                 ; 3.49e-10 s                 ; Yes                       ; Yes                       ;
; ~ALTERA_DCLK~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.94e-09 V                   ; 2.39 V              ; -0.0344 V           ; 0.156 V                              ; 0.089 V                              ; 2.68e-10 s                  ; 2.6e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.94e-09 V                  ; 2.39 V             ; -0.0344 V          ; 0.156 V                             ; 0.089 V                             ; 2.68e-10 s                 ; 2.6e-10 s                  ; Yes                       ; Yes                       ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Signal Integrity Metrics (Slow 1200mv 85c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                           ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; one_o         ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; zero_o        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[0]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[1]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[2]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[3]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[4]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[5]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[6]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[7]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[8]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[9]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[10]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[11]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[12]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[13]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[14]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[15]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[16]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.37 V              ; -0.00606 V          ; 0.107 V                              ; 0.021 V                              ; 4.26e-10 s                  ; 4.03e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.37 V             ; -0.00606 V         ; 0.107 V                             ; 0.021 V                             ; 4.26e-10 s                 ; 4.03e-10 s                 ; Yes                       ; Yes                       ;
; wb_dat_o[17]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[18]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[19]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[20]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[21]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.37 V              ; -0.00606 V          ; 0.107 V                              ; 0.021 V                              ; 4.26e-10 s                  ; 4.03e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.37 V             ; -0.00606 V         ; 0.107 V                             ; 0.021 V                             ; 4.26e-10 s                 ; 4.03e-10 s                 ; Yes                       ; Yes                       ;
; wb_dat_o[22]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.33 V              ; -0.00286 V          ; 0.193 V                              ; 0.042 V                              ; 2.32e-09 s                  ; 2.21e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.33 V             ; -0.00286 V         ; 0.193 V                             ; 0.042 V                             ; 2.32e-09 s                 ; 2.21e-09 s                 ; Yes                       ; Yes                       ;
; wb_dat_o[23]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[24]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[25]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[26]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[27]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[28]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[29]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[30]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.13e-07 V                   ; 2.35 V              ; -0.00662 V          ; 0.129 V                              ; 0.049 V                              ; 8.55e-10 s                  ; 8.01e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 8.13e-07 V                  ; 2.35 V             ; -0.00662 V         ; 0.129 V                             ; 0.049 V                             ; 8.55e-10 s                 ; 8.01e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[31]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.36 V              ; -0.00833 V          ; 0.113 V                              ; 0.035 V                              ; 6.32e-10 s                  ; 5.89e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.36 V             ; -0.00833 V         ; 0.113 V                             ; 0.035 V                             ; 6.32e-10 s                 ; 5.89e-10 s                 ; No                        ; Yes                       ;
; wb_ack_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.33 V              ; -0.00286 V          ; 0.193 V                              ; 0.042 V                              ; 2.32e-09 s                  ; 2.21e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.33 V             ; -0.00286 V         ; 0.193 V                             ; 0.042 V                             ; 2.32e-09 s                 ; 2.21e-09 s                 ; Yes                       ; Yes                       ;
; wb_err_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.37 V              ; -0.00606 V          ; 0.107 V                              ; 0.021 V                              ; 4.26e-10 s                  ; 4.03e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.37 V             ; -0.00606 V         ; 0.107 V                             ; 0.021 V                             ; 4.26e-10 s                 ; 4.03e-10 s                 ; Yes                       ; Yes                       ;
; wb_rty_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.21e-06 V                   ; 2.37 V              ; -0.00606 V          ; 0.107 V                              ; 0.021 V                              ; 4.26e-10 s                  ; 4.03e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.21e-06 V                  ; 2.37 V             ; -0.00606 V         ; 0.107 V                             ; 0.021 V                             ; 4.26e-10 s                 ; 4.03e-10 s                 ; Yes                       ; Yes                       ;
; ~ALTERA_NCEO~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.93e-07 V                   ; 2.37 V              ; -0.0278 V           ; 0.106 V                              ; 0.115 V                              ; 2.69e-10 s                  ; 4.05e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.93e-07 V                  ; 2.37 V             ; -0.0278 V          ; 0.106 V                             ; 0.115 V                             ; 2.69e-10 s                 ; 4.05e-10 s                 ; Yes                       ; Yes                       ;
; ~ALTERA_DCLK~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 9.76e-07 V                   ; 2.36 V              ; -0.00439 V          ; 0.088 V                              ; 0.007 V                              ; 4.05e-10 s                  ; 3.35e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 9.76e-07 V                  ; 2.36 V             ; -0.00439 V         ; 0.088 V                             ; 0.007 V                             ; 4.05e-10 s                 ; 3.35e-10 s                 ; Yes                       ; Yes                       ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Signal Integrity Metrics (Fast 1200mv 0c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                            ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; one_o         ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; zero_o        ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[0]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[1]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[2]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[3]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[4]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[5]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[6]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[7]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[8]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[9]   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[10]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[11]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[12]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[13]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[14]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[15]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[16]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.73 V              ; -0.0205 V           ; 0.17 V                               ; 0.027 V                              ; 2.58e-10 s                  ; 2.57e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.73 V             ; -0.0205 V          ; 0.17 V                              ; 0.027 V                             ; 2.58e-10 s                 ; 2.57e-10 s                 ; Yes                       ; Yes                       ;
; wb_dat_o[17]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[18]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[19]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[20]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[21]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.73 V              ; -0.0205 V           ; 0.17 V                               ; 0.027 V                              ; 2.58e-10 s                  ; 2.57e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.73 V             ; -0.0205 V          ; 0.17 V                              ; 0.027 V                             ; 2.58e-10 s                 ; 2.57e-10 s                 ; Yes                       ; Yes                       ;
; wb_dat_o[22]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.65 V              ; -0.0115 V           ; 0.219 V                              ; 0.115 V                              ; 1.64e-09 s                  ; 1.57e-09 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.65 V             ; -0.0115 V          ; 0.219 V                             ; 0.115 V                             ; 1.64e-09 s                 ; 1.57e-09 s                 ; No                        ; Yes                       ;
; wb_dat_o[23]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[24]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[25]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[26]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[27]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[28]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[29]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[30]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.16e-08 V                   ; 2.71 V              ; -0.0171 V           ; 0.273 V                              ; 0.065 V                              ; 4.95e-10 s                  ; 5.37e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 3.16e-08 V                  ; 2.71 V             ; -0.0171 V          ; 0.273 V                             ; 0.065 V                             ; 4.95e-10 s                 ; 5.37e-10 s                 ; No                        ; Yes                       ;
; wb_dat_o[31]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.72 V              ; -0.0215 V           ; 0.161 V                              ; 0.061 V                              ; 4.44e-10 s                  ; 4.06e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.72 V             ; -0.0215 V          ; 0.161 V                             ; 0.061 V                             ; 4.44e-10 s                 ; 4.06e-10 s                 ; No                        ; Yes                       ;
; wb_ack_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.65 V              ; -0.0115 V           ; 0.219 V                              ; 0.115 V                              ; 1.64e-09 s                  ; 1.57e-09 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.65 V             ; -0.0115 V          ; 0.219 V                             ; 0.115 V                             ; 1.64e-09 s                 ; 1.57e-09 s                 ; No                        ; Yes                       ;
; wb_err_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.73 V              ; -0.0205 V           ; 0.17 V                               ; 0.027 V                              ; 2.58e-10 s                  ; 2.57e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.73 V             ; -0.0205 V          ; 0.17 V                              ; 0.027 V                             ; 2.58e-10 s                 ; 2.57e-10 s                 ; Yes                       ; Yes                       ;
; wb_rty_o      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.66e-08 V                   ; 2.73 V              ; -0.0205 V           ; 0.17 V                               ; 0.027 V                              ; 2.58e-10 s                  ; 2.57e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.66e-08 V                  ; 2.73 V             ; -0.0205 V          ; 0.17 V                              ; 0.027 V                             ; 2.58e-10 s                 ; 2.57e-10 s                 ; Yes                       ; Yes                       ;
; ~ALTERA_NCEO~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.06e-08 V                   ; 2.86 V              ; -0.0341 V           ; 0.364 V                              ; 0.046 V                              ; 1.17e-10 s                  ; 2.6e-10 s                   ; No                         ; Yes                        ; 2.62 V                      ; 3.06e-08 V                  ; 2.86 V             ; -0.0341 V          ; 0.364 V                             ; 0.046 V                             ; 1.17e-10 s                 ; 2.6e-10 s                  ; No                        ; Yes                       ;
; ~ALTERA_DCLK~ ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.81e-08 V                   ; 2.72 V              ; -0.0542 V           ; 0.144 V                              ; 0.087 V                              ; 2.55e-10 s                  ; 2.14e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 3.81e-08 V                  ; 2.72 V             ; -0.0542 V          ; 0.144 V                             ; 0.087 V                             ; 2.55e-10 s                 ; 2.14e-10 s                 ; Yes                       ; Yes                       ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+


+-------------------------------------------------------------------+
; Setup Transfers                                                   ;
+------------+----------+----------+----------+----------+----------+
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+----------+----------+----------+----------+----------+
; wb_clk_i   ; wb_clk_i ; 141      ; 471      ; 128      ; 993      ;
+------------+----------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.


+-------------------------------------------------------------------+
; Hold Transfers                                                    ;
+------------+----------+----------+----------+----------+----------+
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+----------+----------+----------+----------+----------+
; wb_clk_i   ; wb_clk_i ; 141      ; 471      ; 128      ; 993      ;
+------------+----------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.


+-------------------------------------------------------------------+
; Recovery Transfers                                                ;
+------------+----------+----------+----------+----------+----------+
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+----------+----------+----------+----------+----------+
; wb_clk_i   ; wb_clk_i ; 0        ; 0        ; 0        ; 134      ;
+------------+----------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.


+-------------------------------------------------------------------+
; Removal Transfers                                                 ;
+------------+----------+----------+----------+----------+----------+
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+----------+----------+----------+----------+----------+
; wb_clk_i   ; wb_clk_i ; 0        ; 0        ; 0        ; 134      ;
+------------+----------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.


---------------
; Report TCCS ;
---------------
No dedicated SERDES Transmitter circuitry present in device or used in design


---------------
; Report RSKM ;
---------------
No non-DPA dedicated SERDES Receiver circuitry present in device or used in design


+------------------------------------------------+
; Unconstrained Paths                            ;
+---------------------------------+-------+------+
; Property                        ; Setup ; Hold ;
+---------------------------------+-------+------+
; Illegal Clocks                  ; 0     ; 0    ;
; Unconstrained Clocks            ; 0     ; 0    ;
; Unconstrained Input Ports       ; 42    ; 42   ;
; Unconstrained Input Port Paths  ; 1463  ; 1463 ;
; Unconstrained Output Ports      ; 37    ; 37   ;
; Unconstrained Output Port Paths ; 366   ; 366  ;
+---------------------------------+-------+------+


+------------------------------------+
; TimeQuest Timing Analyzer Messages ;
+------------------------------------+
Info: *******************************************************************
Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer
    Info: Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
    Info: Processing started: Mon Feb 16 10:59:58 2015
Info: Command: quartus_sta wiegand_tx_top -c wiegand_tx_top
Info: qsta_default_script.tcl version: #1
Warning (20028): Parallel compilation is not licensed and has been disabled
Info (21076): High junction temperature operating condition is not set. Assuming a default value of '85'.
Info (21076): Low junction temperature operating condition is not set. Assuming a default value of '0'.
Critical Warning (332012): Synopsys Design Constraints File file not found: 'wiegand_tx_top.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
Info (332105): Deriving Clocks
    Info (332105): create_clock -period 1.000 -name wb_clk_i wb_clk_i
Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
Info: Analyzing Slow 1200mV 85C Model
Critical Warning (332148): Timing requirements not met
    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
Info (332146): Worst-case setup slack is -2.910
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):    -2.910            -416.889 wb_clk_i 
Info (332146): Worst-case hold slack is 0.355
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):     0.355               0.000 wb_clk_i 
Info (332146): Worst-case recovery slack is -2.528
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):    -2.528            -267.492 wb_clk_i 
Info (332146): Worst-case removal slack is 2.342
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):     2.342               0.000 wb_clk_i 
Info (332146): Worst-case minimum pulse width slack is -3.000
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):    -3.000            -306.000 wb_clk_i 
Info: Analyzing Slow 1200mV 0C Model
Info (334003): Started post-fitting delay annotation
Info (334004): Delay annotation completed successfully
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
Critical Warning (332148): Timing requirements not met
    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
Info (332146): Worst-case setup slack is -2.543
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):    -2.543            -348.373 wb_clk_i 
Info (332146): Worst-case hold slack is 0.310
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):     0.310               0.000 wb_clk_i 
Info (332146): Worst-case recovery slack is -2.156
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):    -2.156            -225.904 wb_clk_i 
Info (332146): Worst-case removal slack is 2.084
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):     2.084               0.000 wb_clk_i 
Info (332146): Worst-case minimum pulse width slack is -3.000
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):    -3.000            -306.000 wb_clk_i 
Info: Analyzing Fast 1200mV 0C Model
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
Critical Warning (332148): Timing requirements not met
    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
Info (332146): Worst-case setup slack is -1.753
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):    -1.753            -113.466 wb_clk_i 
Info (332146): Worst-case hold slack is 0.185
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):     0.185               0.000 wb_clk_i 
Info (332146): Worst-case recovery slack is -1.085
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):    -1.085            -103.677 wb_clk_i 
Info (332146): Worst-case removal slack is 1.353
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):     1.353               0.000 wb_clk_i 
Info (332146): Worst-case minimum pulse width slack is -3.000
    Info (332119):     Slack       End Point TNS Clock 
    Info (332119): ========= =================== =====================
    Info (332119):    -3.000            -326.495 wb_clk_i 
Info (332102): Design is not fully constrained for setup requirements
Info (332102): Design is not fully constrained for hold requirements
Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings
    Info: Peak virtual memory: 540 megabytes
    Info: Processing ended: Mon Feb 16 11:00:03 2015
    Info: Elapsed time: 00:00:05
    Info: Total CPU time (on all processors): 00:00:05


Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.