OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [syn/] [altera/] [wiegand_tx/] [simulation/] [modelsim/] [wiegand_tx_top_min_1200mv_0c_v_fast.sdo] - Rev 17

Compare with Previous | Blame | View Log

// Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
// Your use of Altera Corporation's design tools, logic functions 
// and other software and tools, and its AMPP partner logic 
// functions, and any output files from any of the foregoing 
// (including device programming or simulation files), and any 
// associated documentation or information are expressly subject 
// to the terms and conditions of the Altera Program License 
// Subscription Agreement, the Altera Quartus II License Agreement,
// the Altera MegaCore Function License Agreement, or other 
// applicable license agreement, including, without limitation, 
// that your use is for the sole purpose of programming logic 
// devices manufactured by Altera and sold by Altera or its 
// authorized distributors.  Please refer to the applicable 
// agreement for further details.


// 
// Device: Altera EP4CGX22CF19C6 Package FBGA324
// 

//
// This file contains Fast Corner delays for the design using part EP4CGX22CF19C6,
// with speed grade M, core voltage 1.2V, and temperature 0 Celsius
//

// 
// This SDF file should be used for ModelSim-Altera (Verilog) only
// 

(DELAYFILE
  (SDFVERSION "2.1")
  (DESIGN "wiegand_tx_top")
  (DATE "02/16/2015 11:00:08")
  (VENDOR "Altera")
  (PROGRAM "Quartus II 64-Bit")
  (VERSION "Version 14.0.0 Build 200 06/17/2014 SJ Web Edition")
  (DIVIDER .)
  (TIMESCALE 1 ps)

  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[0\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (342:342:342) (371:371:371))
        (PORT oe (553:553:553) (617:617:617))
        (IOPATH i o (1600:1600:1600) (1589:1589:1589))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[1\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (254:254:254) (279:279:279))
        (PORT oe (408:408:408) (453:453:453))
        (IOPATH i o (1630:1630:1630) (1619:1619:1619))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[2\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1129:1129:1129) (1273:1273:1273))
        (PORT oe (1610:1610:1610) (1851:1851:1851))
        (IOPATH i o (1600:1600:1600) (1589:1589:1589))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[3\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (531:531:531) (590:590:590))
        (PORT oe (658:658:658) (734:734:734))
        (IOPATH i o (1620:1620:1620) (1609:1609:1609))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[4\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (832:832:832) (913:913:913))
        (PORT oe (1555:1555:1555) (1786:1786:1786))
        (IOPATH i o (1650:1650:1650) (1639:1639:1639))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[5\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (523:523:523) (569:569:569))
        (PORT oe (1497:1497:1497) (1706:1706:1706))
        (IOPATH i o (1600:1600:1600) (1589:1589:1589))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[6\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (853:853:853) (950:950:950))
        (PORT oe (1555:1555:1555) (1786:1786:1786))
        (IOPATH i o (1640:1640:1640) (1629:1629:1629))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[7\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1029:1029:1029) (1155:1155:1155))
        (PORT oe (1784:1784:1784) (2043:2043:2043))
        (IOPATH i o (1743:1743:1743) (1695:1695:1695))
        (IOPATH oe o (1800:1800:1800) (1718:1718:1718))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[8\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (519:519:519) (574:574:574))
        (PORT oe (791:791:791) (882:882:882))
        (IOPATH i o (1590:1590:1590) (1579:1579:1579))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[9\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1658:1658:1658) (1861:1861:1861))
        (PORT oe (1545:1545:1545) (1743:1743:1743))
        (IOPATH i o (1610:1610:1610) (1599:1599:1599))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[10\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1734:1734:1734) (1926:1926:1926))
        (PORT oe (1485:1485:1485) (1709:1709:1709))
        (IOPATH i o (1723:1723:1723) (1675:1675:1675))
        (IOPATH oe o (1800:1800:1800) (1718:1718:1718))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[11\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1340:1340:1340) (1517:1517:1517))
        (PORT oe (1449:1449:1449) (1637:1637:1637))
        (IOPATH i o (1610:1610:1610) (1599:1599:1599))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[12\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (492:492:492) (543:543:543))
        (PORT oe (795:795:795) (888:888:888))
        (IOPATH i o (1610:1610:1610) (1599:1599:1599))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[13\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (516:516:516) (569:569:569))
        (PORT oe (791:791:791) (882:882:882))
        (IOPATH i o (1600:1600:1600) (1589:1589:1589))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[14\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1436:1436:1436) (1623:1623:1623))
        (PORT oe (1545:1545:1545) (1743:1743:1743))
        (IOPATH i o (1600:1600:1600) (1589:1589:1589))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[15\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1348:1348:1348) (1539:1539:1539))
        (PORT oe (1610:1610:1610) (1851:1851:1851))
        (IOPATH i o (1620:1620:1620) (1609:1609:1609))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[16\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1085:1085:1085) (1230:1230:1230))
        (PORT oe (1419:1419:1419) (1602:1602:1602))
        (IOPATH i o (1545:1545:1545) (1518:1518:1518))
        (IOPATH oe o (1639:1639:1639) (1594:1594:1594))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[17\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1258:1258:1258) (1421:1421:1421))
        (PORT oe (1610:1610:1610) (1851:1851:1851))
        (IOPATH i o (1620:1620:1620) (1609:1609:1609))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[18\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1730:1730:1730) (1949:1949:1949))
        (PORT oe (1545:1545:1545) (1744:1744:1744))
        (IOPATH i o (1630:1630:1630) (1619:1619:1619))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[19\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (284:284:284) (314:314:314))
        (PORT oe (553:553:553) (617:617:617))
        (IOPATH i o (1620:1620:1620) (1609:1609:1609))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[20\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (711:711:711) (799:799:799))
        (PORT oe (920:920:920) (1034:1034:1034))
        (IOPATH i o (1610:1610:1610) (1599:1599:1599))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[21\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (599:599:599) (659:659:659))
        (PORT oe (811:811:811) (912:912:912))
        (IOPATH i o (1545:1545:1545) (1518:1518:1518))
        (IOPATH oe o (1639:1639:1639) (1594:1594:1594))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[22\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (499:499:499) (551:551:551))
        (PORT oe (811:811:811) (912:912:912))
        (IOPATH i o (2170:2170:2170) (2161:2161:2161))
        (IOPATH oe o (2274:2274:2274) (2230:2230:2230))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[23\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (626:626:626) (691:691:691))
        (PORT oe (795:795:795) (888:888:888))
        (IOPATH i o (1620:1620:1620) (1609:1609:1609))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[24\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (642:642:642) (714:714:714))
        (PORT oe (920:920:920) (1034:1034:1034))
        (IOPATH i o (1620:1620:1620) (1609:1609:1609))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[25\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1680:1680:1680) (1893:1893:1893))
        (PORT oe (1545:1545:1545) (1744:1744:1744))
        (IOPATH i o (1620:1620:1620) (1609:1609:1609))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[26\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1128:1128:1128) (1275:1275:1275))
        (PORT oe (1643:1643:1643) (1888:1888:1888))
        (IOPATH i o (1743:1743:1743) (1695:1695:1695))
        (IOPATH oe o (1800:1800:1800) (1718:1718:1718))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[27\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1026:1026:1026) (1168:1168:1168))
        (PORT oe (1610:1610:1610) (1851:1851:1851))
        (IOPATH i o (1590:1590:1590) (1579:1579:1579))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[28\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1070:1070:1070) (1197:1197:1197))
        (PORT oe (1784:1784:1784) (2043:2043:2043))
        (IOPATH i o (1733:1733:1733) (1685:1685:1685))
        (IOPATH oe o (1800:1800:1800) (1718:1718:1718))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[29\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1214:1214:1214) (1360:1360:1360))
        (PORT oe (1643:1643:1643) (1888:1888:1888))
        (IOPATH i o (1743:1743:1743) (1695:1695:1695))
        (IOPATH oe o (1800:1800:1800) (1718:1718:1718))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[30\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (813:813:813) (931:931:931))
        (PORT oe (1631:1631:1631) (1873:1873:1873))
        (IOPATH i o (1753:1753:1753) (1705:1705:1705))
        (IOPATH oe o (1800:1800:1800) (1718:1718:1718))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_dat_o\[31\]\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (620:620:620) (707:707:707))
        (PORT oe (942:942:942) (1060:1060:1060))
        (IOPATH i o (1610:1610:1610) (1599:1599:1599))
        (IOPATH oe o (1695:1695:1695) (1655:1655:1655))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE one_o\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (1058:1058:1058) (914:914:914))
        (IOPATH i o (1589:1589:1589) (1600:1600:1600))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE zero_o\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (2249:2249:2249) (1969:1969:1969))
        (IOPATH i o (1675:1675:1675) (1723:1723:1723))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_ack_o\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (572:572:572) (647:647:647))
        (IOPATH i o (2170:2170:2170) (2161:2161:2161))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_err_o\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (863:863:863) (965:965:965))
        (IOPATH i o (1555:1555:1555) (1528:1528:1528))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_obuf")
    (INSTANCE wb_rty_o\~output)
    (DELAY
      (ABSOLUTE
        (PORT i (575:575:575) (648:648:648))
        (IOPATH i o (1545:1545:1545) (1518:1518:1518))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_adr_i\[0\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (242:242:242) (617:617:617))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_adr_i\[1\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (272:272:272) (647:647:647))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_adr_i\[2\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (273:273:273) (648:648:648))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_adr_i\[5\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (283:283:283) (658:658:658))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_adr_i\[3\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (273:273:273) (648:648:648))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_adr_i\[4\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (253:253:253) (628:628:628))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|Equal2\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (1662:1662:1662) (1840:1840:1840))
        (PORT datab (1810:1810:1810) (2007:2007:2007))
        (PORT datac (1642:1642:1642) (1814:1814:1814))
        (PORT datad (1800:1800:1800) (1988:1988:1988))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (120:120:120) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|Equal1\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (2089:2089:2089) (2301:2301:2301))
        (PORT datac (2143:2143:2143) (2402:2402:2402))
        (PORT datad (1230:1230:1230) (1398:1398:1398))
        (IOPATH dataa combout (166:166:166) (163:163:163))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_clk_i\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (242:242:242) (617:617:617))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_clkctrl")
    (INSTANCE wb_clk_i\~inputclkctrl)
    (DELAY
      (ABSOLUTE
        (PORT inclk[0] (229:229:229) (215:215:215))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[0\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (242:242:242) (617:617:617))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_rst_i\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (242:242:242) (617:617:617))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_clkctrl")
    (INSTANCE wb_rst_i\~inputclkctrl)
    (DELAY
      (ABSOLUTE
        (PORT inclk[0] (229:229:229) (215:215:215))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCountReg\[0\]\~8)
    (DELAY
      (ABSOLUTE
        (PORT dataa (229:229:229) (286:286:286))
        (IOPATH dataa combout (186:186:186) (180:180:180))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[0\]\~32)
    (DELAY
      (ABSOLUTE
        (PORT datab (143:143:143) (186:186:186))
        (IOPATH datab combout (192:192:192) (181:181:181))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[5\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (262:262:262) (637:637:637))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|Equal2\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (2090:2090:2090) (2302:2302:2302))
        (PORT datac (2142:2142:2142) (2401:2401:2401))
        (PORT datad (1229:1229:1229) (1398:1398:1398))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_stb_i\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (282:282:282) (657:657:657))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_cyc_i\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (252:252:252) (627:627:627))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_o\~1)
    (DELAY
      (ABSOLUTE
        (PORT datab (2170:2170:2170) (2436:2436:2436))
        (PORT datad (2142:2142:2142) (2399:2399:2399))
        (IOPATH datab combout (167:167:167) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_we_i\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (282:282:282) (657:657:657))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|always4\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (596:596:596) (685:685:685))
        (PORT datab (148:148:148) (194:194:194))
        (PORT datac (298:298:298) (331:331:331))
        (PORT datad (2111:2111:2111) (2339:2339:2339))
        (IOPATH dataa combout (166:166:166) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2144:2144:2144) (2359:2359:2359))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (595:595:595) (626:626:626))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[9\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (282:282:282) (657:657:657))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[9\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2355:2355:2355) (2612:2612:2612))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (595:595:595) (626:626:626))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[8\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (282:282:282) (657:657:657))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[8\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2271:2271:2271) (2520:2520:2520))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (595:595:595) (626:626:626))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[7\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (242:242:242) (617:617:617))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2132:2132:2132) (2333:2333:2333))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (595:595:595) (626:626:626))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[6\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (242:242:242) (617:617:617))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2690:2690:2690) (2995:2995:2995))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (595:595:595) (626:626:626))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal1\~10)
    (DELAY
      (ABSOLUTE
        (PORT dataa (138:138:138) (185:185:185))
        (PORT datab (132:132:132) (176:176:176))
        (PORT datad (119:119:119) (157:157:157))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal1\~11)
    (DELAY
      (ABSOLUTE
        (PORT datad (103:103:103) (120:120:120))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[20\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (253:253:253) (628:628:628))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[20\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT asdata (2653:2653:2653) (2940:2940:2940))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT ena (649:649:649) (698:698:698))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[18\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (252:252:252) (627:627:627))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[18\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT asdata (2469:2469:2469) (2742:2742:2742))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT ena (649:649:649) (698:698:698))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[19\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (303:303:303) (678:678:678))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[19\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT asdata (2445:2445:2445) (2693:2693:2693))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT ena (649:649:649) (698:698:698))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[21\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (253:253:253) (628:628:628))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[21\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT asdata (2531:2531:2531) (2813:2813:2813))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT ena (649:649:649) (698:698:698))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal1\~6)
    (DELAY
      (ABSOLUTE
        (PORT dataa (135:135:135) (180:180:180))
        (PORT datab (133:133:133) (176:176:176))
        (PORT datad (123:123:123) (162:162:162))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[16\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (282:282:282) (657:657:657))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[16\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2408:2408:2408) (2671:2671:2671))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (658:658:658) (705:705:705))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[17\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (263:263:263) (638:638:638))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[17\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2559:2559:2559) (2846:2846:2846))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (658:658:658) (705:705:705))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[15\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (272:272:272) (647:647:647))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[15\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2436:2436:2436) (2691:2691:2691))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (658:658:658) (705:705:705))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[14\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (253:253:253) (628:628:628))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[14\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2597:2597:2597) (2896:2896:2896))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (658:658:658) (705:705:705))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal1\~7)
    (DELAY
      (ABSOLUTE
        (PORT dataa (137:137:137) (183:183:183))
        (PORT datab (138:138:138) (183:183:183))
        (PORT datad (117:117:117) (153:153:153))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[13\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (283:283:283) (658:658:658))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|p2p\[13\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2335:2335:2335) (2612:2612:2612))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[13\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (658:658:658) (705:705:705))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[10\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (293:293:293) (668:668:668))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[10\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2454:2454:2454) (2732:2732:2732))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (658:658:658) (705:705:705))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[11\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (253:253:253) (628:628:628))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[11\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2470:2470:2470) (2742:2742:2742))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (658:658:658) (705:705:705))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[12\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (242:242:242) (617:617:617))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[12\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT asdata (2318:2318:2318) (2586:2586:2586))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT ena (649:649:649) (698:698:698))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal1\~8)
    (DELAY
      (ABSOLUTE
        (PORT dataa (149:149:149) (194:194:194))
        (PORT datab (135:135:135) (179:179:179))
        (PORT datad (310:310:310) (367:367:367))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[24\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (242:242:242) (617:617:617))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[24\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2518:2518:2518) (2785:2785:2785))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (772:772:772) (842:842:842))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[22\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (242:242:242) (617:617:617))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|p2p\[22\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (1813:1813:1813) (1995:1995:1995))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[22\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (772:772:772) (842:842:842))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[23\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (282:282:282) (657:657:657))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|p2p\[23\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (1902:1902:1902) (2085:2085:2085))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[23\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (772:772:772) (842:842:842))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[25\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (272:272:272) (647:647:647))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|p2p\[25\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2119:2119:2119) (2378:2378:2378))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[25\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (772:772:772) (842:842:842))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal1\~5)
    (DELAY
      (ABSOLUTE
        (PORT dataa (136:136:136) (181:181:181))
        (PORT datab (139:139:139) (186:186:186))
        (PORT datac (130:130:130) (169:169:169))
        (PORT datad (128:128:128) (165:165:165))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (120:120:120) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal1\~9)
    (DELAY
      (ABSOLUTE
        (PORT dataa (278:278:278) (313:313:313))
        (PORT datab (107:107:107) (130:130:130))
        (PORT datac (92:92:92) (111:111:111))
        (PORT datad (262:262:262) (293:293:293))
        (IOPATH dataa combout (159:159:159) (163:163:163))
        (IOPATH datab combout (161:161:161) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[28\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (282:282:282) (657:657:657))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[28\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (2243:2243:2243) (2448:2448:2448))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (1021:1021:1021) (1117:1117:1117))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[27\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (262:262:262) (637:637:637))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[27\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (2294:2294:2294) (2557:2557:2557))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (1021:1021:1021) (1117:1117:1117))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[26\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (282:282:282) (657:657:657))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[26\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (2357:2357:2357) (2632:2632:2632))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (1021:1021:1021) (1117:1117:1117))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[29\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (262:262:262) (637:637:637))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[29\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (2448:2448:2448) (2736:2736:2736))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (1021:1021:1021) (1117:1117:1117))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal1\~3)
    (DELAY
      (ABSOLUTE
        (PORT dataa (135:135:135) (180:180:180))
        (PORT datab (140:140:140) (187:187:187))
        (PORT datac (119:119:119) (157:157:157))
        (PORT datad (120:120:120) (159:159:159))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (120:120:120) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[31\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (283:283:283) (658:658:658))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[31\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2538:2538:2538) (2815:2815:2815))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (595:595:595) (626:626:626))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[4\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (262:262:262) (637:637:637))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2438:2438:2438) (2706:2706:2706))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (595:595:595) (626:626:626))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE p2pCnt\[0\]\~5)
    (DELAY
      (ABSOLUTE
        (PORT dataa (147:147:147) (192:192:192))
        (IOPATH dataa combout (186:186:186) (180:180:180))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE p2pCnt\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (634:634:634) (618:618:618))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE p2pCnt\[1\]\~7)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (188:188:188))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE p2pCnt\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (634:634:634) (618:618:618))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE p2pCnt\[2\]\~9)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (188:188:188))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE p2pCnt\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (634:634:634) (618:618:618))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE p2pCnt\[3\]\~11)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (189:189:189))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE p2pCnt\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (634:634:634) (618:618:618))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE p2pCnt\[4\]\~13)
    (DELAY
      (ABSOLUTE
        (PORT datad (129:129:129) (166:166:166))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE p2pCnt\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (634:634:634) (618:618:618))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[30\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (252:252:252) (627:627:627))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[30\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2600:2600:2600) (2909:2909:2909))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (595:595:595) (626:626:626))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal1\~2)
    (DELAY
      (ABSOLUTE
        (PORT dataa (141:141:141) (188:188:188))
        (PORT datab (134:134:134) (177:177:177))
        (PORT datac (200:200:200) (245:245:245))
        (PORT datad (184:184:184) (225:225:225))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (188:188:188) (177:177:177))
        (IOPATH datac combout (120:120:120) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[1\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (282:282:282) (657:657:657))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (2059:2059:2059) (2256:2256:2256))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (1021:1021:1021) (1117:1117:1117))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (2489:2489:2489) (2745:2745:2745))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (1021:1021:1021) (1117:1117:1117))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal1\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (507:507:507) (596:596:596))
        (PORT datab (200:200:200) (250:250:250))
        (PORT datad (497:497:497) (602:602:602))
        (IOPATH dataa combout (188:188:188) (196:196:196))
        (IOPATH datab combout (190:190:190) (197:197:197))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[3\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (262:262:262) (637:637:637))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (2476:2476:2476) (2723:2723:2723))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (1021:1021:1021) (1117:1117:1117))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_io_ibuf")
    (INSTANCE wb_dat_i\[2\]\~input)
    (DELAY
      (ABSOLUTE
        (IOPATH i o (242:242:242) (617:617:617))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|p2p\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (2105:2105:2105) (2290:2290:2290))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (1021:1021:1021) (1117:1117:1117))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal1\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (361:361:361) (427:427:427))
        (PORT datab (504:504:504) (590:590:590))
        (PORT datad (493:493:493) (578:578:578))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH datab combout (188:188:188) (177:177:177))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal1\~4)
    (DELAY
      (ABSOLUTE
        (PORT dataa (207:207:207) (246:246:246))
        (PORT datab (324:324:324) (367:367:367))
        (PORT datac (197:197:197) (236:236:236))
        (PORT datad (197:197:197) (232:232:232))
        (IOPATH dataa combout (159:159:159) (163:163:163))
        (IOPATH datab combout (161:161:161) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal1\~12)
    (DELAY
      (ABSOLUTE
        (PORT dataa (353:353:353) (411:411:411))
        (PORT datab (621:621:621) (725:725:725))
        (PORT datac (160:160:160) (182:182:182))
        (PORT datad (96:96:96) (115:115:115))
        (IOPATH dataa combout (166:166:166) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Selector3\~3)
    (DELAY
      (ABSOLUTE
        (PORT dataa (245:245:245) (306:306:306))
        (PORT datab (513:513:513) (619:619:619))
        (PORT datac (98:98:98) (120:120:120))
        (PORT datad (90:90:90) (107:107:107))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE state\.101)
    (DELAY
      (ABSOLUTE
        (PORT clk (1193:1193:1193) (1198:1198:1198))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (984:984:984) (961:961:961))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCountReg\[1\]\~10)
    (DELAY
      (ABSOLUTE
        (PORT datab (149:149:149) (194:194:194))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCountReg\[2\]\~12)
    (DELAY
      (ABSOLUTE
        (PORT dataa (147:147:147) (193:193:193))
        (IOPATH dataa combout (186:186:186) (175:175:175))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCountReg\[3\]\~7)
    (DELAY
      (ABSOLUTE
        (PORT datac (200:200:200) (245:245:245))
        (PORT datad (497:497:497) (583:583:583))
        (IOPATH datac combout (119:119:119) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCountReg\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (966:966:966))
        (PORT sclr (823:823:823) (774:774:774))
        (PORT ena (417:417:417) (434:434:434))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCountReg\[3\]\~14)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (189:189:189))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCountReg\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (966:966:966))
        (PORT sclr (823:823:823) (774:774:774))
        (PORT ena (417:417:417) (434:434:434))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCountReg\[4\]\~16)
    (DELAY
      (ABSOLUTE
        (PORT dataa (151:151:151) (198:198:198))
        (IOPATH dataa combout (186:186:186) (175:175:175))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCountReg\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (966:966:966))
        (PORT sclr (823:823:823) (774:774:774))
        (PORT ena (417:417:417) (434:434:434))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCountReg\[5\]\~18)
    (DELAY
      (ABSOLUTE
        (PORT datab (148:148:148) (194:194:194))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCountReg\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (966:966:966))
        (PORT sclr (823:823:823) (774:774:774))
        (PORT ena (417:417:417) (434:434:434))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCountReg\[6\]\~20)
    (DELAY
      (ABSOLUTE
        (PORT dataa (151:151:151) (198:198:198))
        (IOPATH dataa combout (188:188:188) (193:193:193))
        (IOPATH cin combout (187:187:187) (204:204:204))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCountReg\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (966:966:966))
        (PORT sclr (823:823:823) (774:774:774))
        (PORT ena (417:417:417) (434:434:434))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Selector3\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (157:157:157) (204:204:204))
        (PORT datab (153:153:153) (199:199:199))
        (PORT datac (139:139:139) (180:180:180))
        (PORT datad (135:135:135) (174:174:174))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Selector3\~1)
    (DELAY
      (ABSOLUTE
        (PORT datac (137:137:137) (178:178:178))
        (PORT datad (204:204:204) (247:247:247))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Selector3\~2)
    (DELAY
      (ABSOLUTE
        (PORT dataa (221:221:221) (272:272:272))
        (PORT datab (294:294:294) (335:335:335))
        (PORT datac (591:591:591) (709:709:709))
        (PORT datad (436:436:436) (497:497:497))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Selector4\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (328:328:328) (372:372:372))
        (PORT datab (175:175:175) (202:202:202))
        (PORT datac (98:98:98) (120:120:120))
        (PORT datad (95:95:95) (114:114:114))
        (IOPATH dataa combout (159:159:159) (163:163:163))
        (IOPATH datab combout (161:161:161) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCount\[0\]\~7)
    (DELAY
      (ABSOLUTE
        (PORT datab (144:144:144) (188:188:188))
        (IOPATH datab combout (192:192:192) (181:181:181))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|rty_int\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (2166:2166:2166) (2433:2433:2433))
        (PORT datab (2168:2168:2168) (2434:2434:2434))
        (PORT datac (1970:1970:1970) (2164:2164:2164))
        (PORT datad (1229:1229:1229) (1398:1398:1398))
        (IOPATH dataa combout (159:159:159) (163:163:163))
        (IOPATH datab combout (161:161:161) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|always3\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (2092:2092:2092) (2304:2304:2304))
        (PORT datab (2157:2157:2157) (2419:2419:2419))
        (PORT datac (491:491:491) (566:566:566))
        (PORT datad (96:96:96) (116:116:116))
        (IOPATH dataa combout (165:165:165) (159:159:159))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (119:119:119) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[15\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2435:2435:2435) (2691:2691:2691))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (639:639:639) (689:689:689))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[14\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2598:2598:2598) (2896:2896:2896))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (639:639:639) (689:689:689))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~8)
    (DELAY
      (ABSOLUTE
        (PORT dataa (340:340:340) (406:406:406))
        (PORT datab (134:134:134) (177:177:177))
        (PORT datad (340:340:340) (397:397:397))
        (IOPATH dataa combout (188:188:188) (196:196:196))
        (IOPATH datab combout (190:190:190) (197:197:197))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[11\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2468:2468:2468) (2740:2740:2740))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (639:639:639) (689:689:689))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[10\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2456:2456:2456) (2734:2734:2734))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (639:639:639) (689:689:689))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~6)
    (DELAY
      (ABSOLUTE
        (PORT dataa (135:135:135) (180:180:180))
        (PORT datab (377:377:377) (453:453:453))
        (PORT datad (495:495:495) (579:579:579))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH datab combout (188:188:188) (177:177:177))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[13\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2664:2664:2664) (2959:2959:2959))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (639:639:639) (689:689:689))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[12\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2307:2307:2307) (2568:2568:2568))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (639:639:639) (689:689:689))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~7)
    (DELAY
      (ABSOLUTE
        (PORT dataa (135:135:135) (180:180:180))
        (PORT datab (366:366:366) (437:437:437))
        (PORT datad (473:473:473) (550:550:550))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH datab combout (188:188:188) (177:177:177))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[8\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1192:1192:1192))
        (PORT asdata (2551:2551:2551) (2814:2814:2814))
        (PORT clrn (987:987:987) (964:964:964))
        (PORT ena (781:781:781) (852:852:852))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[9\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1192:1192:1192))
        (PORT asdata (2524:2524:2524) (2801:2801:2801))
        (PORT clrn (987:987:987) (964:964:964))
        (PORT ena (781:781:781) (852:852:852))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~5)
    (DELAY
      (ABSOLUTE
        (PORT dataa (147:147:147) (192:192:192))
        (PORT datab (145:145:145) (188:188:188))
        (PORT datac (363:363:363) (420:420:420))
        (PORT datad (365:365:365) (428:428:428))
        (IOPATH dataa combout (188:188:188) (179:179:179))
        (IOPATH datab combout (166:166:166) (174:174:174))
        (IOPATH datac combout (120:120:120) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~9)
    (DELAY
      (ABSOLUTE
        (PORT dataa (186:186:186) (221:221:221))
        (PORT datab (169:169:169) (196:196:196))
        (PORT datac (156:156:156) (178:178:178))
        (PORT datad (301:301:301) (340:340:340))
        (IOPATH dataa combout (159:159:159) (163:163:163))
        (IOPATH datab combout (161:161:161) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2144:2144:2144) (2359:2359:2359))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (812:812:812) (897:897:897))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2440:2440:2440) (2708:2708:2708))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (812:812:812) (897:897:897))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~2)
    (DELAY
      (ABSOLUTE
        (PORT dataa (211:211:211) (257:257:257))
        (PORT datab (207:207:207) (254:254:254))
        (PORT datad (201:201:201) (243:243:243))
        (IOPATH dataa combout (188:188:188) (179:179:179))
        (IOPATH datab combout (166:166:166) (174:174:174))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|pulsewidth\[3\]\~1)
    (DELAY
      (ABSOLUTE
        (PORT datac (2300:2300:2300) (2549:2549:2549))
        (IOPATH datac combout (120:120:120) (125:125:125))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (649:649:649) (707:707:707))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (2106:2106:2106) (2291:2291:2291))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (649:649:649) (707:707:707))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (488:488:488) (564:564:564))
        (PORT datab (257:257:257) (319:319:319))
        (PORT datac (218:218:218) (271:271:271))
        (PORT datad (633:633:633) (729:729:729))
        (IOPATH dataa combout (181:181:181) (193:193:193))
        (IOPATH datab combout (191:191:191) (181:181:181))
        (IOPATH datac combout (120:120:120) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2130:2130:2130) (2330:2330:2330))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (812:812:812) (897:897:897))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2688:2688:2688) (2993:2993:2993))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (812:812:812) (897:897:897))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~3)
    (DELAY
      (ABSOLUTE
        (PORT dataa (220:220:220) (271:271:271))
        (PORT datab (133:133:133) (176:176:176))
        (PORT datad (193:193:193) (230:230:230))
        (IOPATH dataa combout (188:188:188) (179:179:179))
        (IOPATH datab combout (166:166:166) (174:174:174))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1192:1192:1192))
        (PORT asdata (2079:2079:2079) (2257:2257:2257))
        (PORT clrn (987:987:987) (964:964:964))
        (PORT ena (781:781:781) (852:852:852))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|pulsewidth\[1\]\~0)
    (DELAY
      (ABSOLUTE
        (PORT datac (2212:2212:2212) (2462:2462:2462))
        (IOPATH datac combout (120:120:120) (125:125:125))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1192:1192:1192))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (987:987:987) (964:964:964))
        (PORT ena (781:781:781) (852:852:852))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (135:135:135) (180:180:180))
        (PORT datab (145:145:145) (188:188:188))
        (PORT datac (488:488:488) (555:555:555))
        (PORT datad (373:373:373) (442:442:442))
        (IOPATH dataa combout (181:181:181) (193:193:193))
        (IOPATH datab combout (191:191:191) (181:181:181))
        (IOPATH datac combout (120:120:120) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~4)
    (DELAY
      (ABSOLUTE
        (PORT dataa (314:314:314) (361:361:361))
        (PORT datab (107:107:107) (131:131:131))
        (PORT datac (323:323:323) (377:377:377))
        (PORT datad (314:314:314) (360:360:360))
        (IOPATH dataa combout (159:159:159) (163:163:163))
        (IOPATH datab combout (161:161:161) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[25\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT asdata (2303:2303:2303) (2557:2557:2557))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT ena (737:737:737) (796:796:796))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[19\]\~70)
    (DELAY
      (ABSOLUTE
        (PORT dataa (147:147:147) (192:192:192))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[20\]\~72)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (188:188:188))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[20\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[21\]\~74)
    (DELAY
      (ABSOLUTE
        (PORT dataa (147:147:147) (192:192:192))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[21\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[22\]\~76)
    (DELAY
      (ABSOLUTE
        (PORT dataa (147:147:147) (192:192:192))
        (IOPATH dataa combout (186:186:186) (175:175:175))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[22\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[23\]\~78)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (188:188:188))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[23\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[24\]\~80)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (188:188:188))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[24\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[25\]\~82)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (188:188:188))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[25\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[24\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT asdata (2419:2419:2419) (2682:2682:2682))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT ena (737:737:737) (796:796:796))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~15)
    (DELAY
      (ABSOLUTE
        (PORT dataa (135:135:135) (180:180:180))
        (PORT datab (224:224:224) (280:280:280))
        (PORT datad (295:295:295) (341:341:341))
        (IOPATH dataa combout (188:188:188) (196:196:196))
        (IOPATH datab combout (190:190:190) (197:197:197))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[26\]\~84)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (189:189:189))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[26\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1201:1201:1201) (1188:1188:1188))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[27\]\~86)
    (DELAY
      (ABSOLUTE
        (PORT dataa (147:147:147) (193:193:193))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[27\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[27\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (2293:2293:2293) (2556:2556:2556))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (649:649:649) (707:707:707))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[26\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (2358:2358:2358) (2633:2633:2633))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (649:649:649) (707:707:707))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~16)
    (DELAY
      (ABSOLUTE
        (PORT dataa (349:349:349) (406:406:406))
        (PORT datab (134:134:134) (177:177:177))
        (PORT datad (322:322:322) (375:375:375))
        (IOPATH dataa combout (188:188:188) (196:196:196))
        (IOPATH datab combout (190:190:190) (197:197:197))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[29\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (2450:2450:2450) (2738:2738:2738))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (649:649:649) (707:707:707))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[28\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (2240:2240:2240) (2444:2444:2444))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT ena (649:649:649) (707:707:707))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[28\]\~88)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (189:189:189))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[28\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1201:1201:1201) (1188:1188:1188))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[29\]\~90)
    (DELAY
      (ABSOLUTE
        (PORT dataa (147:147:147) (192:192:192))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[29\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1201:1201:1201) (1188:1188:1188))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~17)
    (DELAY
      (ABSOLUTE
        (PORT dataa (138:138:138) (184:184:184))
        (PORT datab (141:141:141) (187:187:187))
        (PORT datac (329:329:329) (378:378:378))
        (PORT datad (326:326:326) (383:383:383))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH datab combout (188:188:188) (177:177:177))
        (IOPATH datac combout (120:120:120) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[30\]\~92)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (188:188:188))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[30\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1201:1201:1201) (1188:1188:1188))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[31\]\~94)
    (DELAY
      (ABSOLUTE
        (PORT dataa (146:146:146) (191:191:191))
        (IOPATH dataa combout (195:195:195) (203:203:203))
        (IOPATH cin combout (187:187:187) (204:204:204))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[31\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1201:1201:1201) (1188:1188:1188))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[31\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2538:2538:2538) (2815:2815:2815))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (812:812:812) (897:897:897))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[30\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT asdata (2600:2600:2600) (2909:2909:2909))
        (PORT clrn (982:982:982) (960:960:960))
        (PORT ena (812:812:812) (897:897:897))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~18)
    (DELAY
      (ABSOLUTE
        (PORT dataa (354:354:354) (415:415:415))
        (PORT datab (134:134:134) (177:177:177))
        (PORT datad (481:481:481) (559:559:559))
        (IOPATH dataa combout (188:188:188) (196:196:196))
        (IOPATH datab combout (190:190:190) (197:197:197))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~19)
    (DELAY
      (ABSOLUTE
        (PORT dataa (278:278:278) (320:320:320))
        (PORT datab (205:205:205) (243:243:243))
        (PORT datac (200:200:200) (239:239:239))
        (PORT datad (311:311:311) (356:356:356))
        (IOPATH dataa combout (159:159:159) (163:163:163))
        (IOPATH datab combout (161:161:161) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~20)
    (DELAY
      (ABSOLUTE
        (PORT dataa (117:117:117) (146:146:146))
        (PORT datab (113:113:113) (139:139:139))
        (PORT datac (96:96:96) (117:117:117))
        (PORT datad (95:95:95) (116:116:116))
        (IOPATH dataa combout (159:159:159) (163:163:163))
        (IOPATH datab combout (161:161:161) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Selector2\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (114:114:114) (142:142:142))
        (PORT datab (447:447:447) (509:509:509))
        (PORT datad (98:98:98) (118:118:118))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE state\.100)
    (DELAY
      (ABSOLUTE
        (PORT clk (998:998:998) (976:976:976))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (984:984:984) (961:961:961))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|size\~0)
    (DELAY
      (ABSOLUTE
        (PORT datab (122:122:122) (147:147:147))
        (PORT datad (1940:1940:1940) (2141:2141:2141))
        (IOPATH datab combout (167:167:167) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|size\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Selector0\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (960:960:960) (1118:1118:1118))
        (PORT datab (526:526:526) (643:643:643))
        (PORT datad (98:98:98) (118:118:118))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE state\.000)
    (DELAY
      (ABSOLUTE
        (PORT clk (998:998:998) (976:976:976))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (984:984:984) (961:961:961))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCount\[4\]\~11)
    (DELAY
      (ABSOLUTE
        (PORT datac (491:491:491) (568:568:568))
        (PORT datad (454:454:454) (551:551:551))
        (IOPATH datac combout (120:120:120) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCount\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (966:966:966))
        (PORT sclr (823:823:823) (774:774:774))
        (PORT ena (486:486:486) (516:516:516))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCount\[1\]\~9)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (188:188:188))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCount\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (966:966:966))
        (PORT sclr (823:823:823) (774:774:774))
        (PORT ena (486:486:486) (516:516:516))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|size\[1\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (1807:1807:1807) (1985:1985:1985))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|size\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (986:986:986) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (967:967:967))
        (PORT ena (792:792:792) (857:857:857))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal2\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (229:229:229) (286:286:286))
        (PORT datab (146:146:146) (190:190:190))
        (PORT datac (130:130:130) (169:169:169))
        (PORT datad (201:201:201) (244:244:244))
        (IOPATH dataa combout (188:188:188) (179:179:179))
        (IOPATH datab combout (166:166:166) (174:174:174))
        (IOPATH datac combout (120:120:120) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|size\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (986:986:986) (999:999:999))
        (PORT asdata (2377:2377:2377) (2643:2643:2643))
        (PORT clrn (989:989:989) (967:967:967))
        (PORT ena (792:792:792) (857:857:857))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCount\[2\]\~12)
    (DELAY
      (ABSOLUTE
        (PORT dataa (147:147:147) (192:192:192))
        (IOPATH dataa combout (186:186:186) (175:175:175))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCount\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (966:966:966))
        (PORT sclr (823:823:823) (774:774:774))
        (PORT ena (486:486:486) (516:516:516))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCount\[3\]\~14)
    (DELAY
      (ABSOLUTE
        (PORT datab (146:146:146) (189:189:189))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCount\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (966:966:966))
        (PORT sclr (823:823:823) (774:774:774))
        (PORT ena (486:486:486) (516:516:516))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCount\[4\]\~16)
    (DELAY
      (ABSOLUTE
        (PORT dataa (147:147:147) (193:193:193))
        (IOPATH dataa combout (186:186:186) (175:175:175))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCount\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (966:966:966))
        (PORT sclr (823:823:823) (774:774:774))
        (PORT ena (486:486:486) (516:516:516))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCount\[5\]\~18)
    (DELAY
      (ABSOLUTE
        (PORT dataa (147:147:147) (192:192:192))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCount\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (966:966:966))
        (PORT sclr (823:823:823) (774:774:774))
        (PORT ena (486:486:486) (516:516:516))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|size\[5\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (1932:1932:1932) (2131:2131:2131))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|size\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (986:986:986) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (967:967:967))
        (PORT ena (792:792:792) (857:857:857))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal2\~2)
    (DELAY
      (ABSOLUTE
        (PORT dataa (135:135:135) (180:180:180))
        (PORT datab (215:215:215) (264:264:264))
        (PORT datac (209:209:209) (259:259:259))
        (PORT datad (121:121:121) (160:160:160))
        (IOPATH dataa combout (188:188:188) (196:196:196))
        (IOPATH datab combout (190:190:190) (197:197:197))
        (IOPATH datac combout (120:120:120) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bitCount\[6\]\~20)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (188:188:188))
        (IOPATH datab combout (188:188:188) (193:193:193))
        (IOPATH cin combout (187:187:187) (204:204:204))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCount\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (966:966:966))
        (PORT sclr (823:823:823) (774:774:774))
        (PORT ena (486:486:486) (516:516:516))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|size\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (986:986:986) (999:999:999))
        (PORT asdata (2712:2712:2712) (3026:3026:3026))
        (PORT clrn (989:989:989) (967:967:967))
        (PORT ena (792:792:792) (857:857:857))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal2\~3)
    (DELAY
      (ABSOLUTE
        (PORT datac (209:209:209) (260:260:260))
        (PORT datad (123:123:123) (164:164:164))
        (IOPATH datac combout (119:119:119) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|size\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (986:986:986) (999:999:999))
        (PORT asdata (2480:2480:2480) (2726:2726:2726))
        (PORT clrn (989:989:989) (967:967:967))
        (PORT ena (792:792:792) (857:857:857))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|size\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (986:986:986) (999:999:999))
        (PORT asdata (2118:2118:2118) (2323:2323:2323))
        (PORT clrn (989:989:989) (967:967:967))
        (PORT ena (792:792:792) (857:857:857))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal2\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (217:217:217) (269:269:269))
        (PORT datab (138:138:138) (183:183:183))
        (PORT datac (209:209:209) (260:260:260))
        (PORT datad (129:129:129) (166:166:166))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH datab combout (188:188:188) (177:177:177))
        (IOPATH datac combout (120:120:120) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal2\~4)
    (DELAY
      (ABSOLUTE
        (PORT dataa (108:108:108) (134:134:134))
        (PORT datab (107:107:107) (131:131:131))
        (PORT datac (94:94:94) (114:114:114))
        (PORT datad (91:91:91) (109:109:109))
        (IOPATH dataa combout (158:158:158) (163:163:163))
        (IOPATH datab combout (160:160:160) (167:167:167))
        (IOPATH datac combout (119:119:119) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Selector4\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (116:116:116) (144:144:144))
        (PORT datab (448:448:448) (511:511:511))
        (PORT datad (99:99:99) (120:120:120))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE state\.111)
    (DELAY
      (ABSOLUTE
        (PORT clk (998:998:998) (976:976:976))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (984:984:984) (961:961:961))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE bit\~0)
    (DELAY
      (ABSOLUTE
        (PORT datab (231:231:231) (288:288:288))
        (PORT datad (345:345:345) (415:415:415))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[1\]\~34)
    (DELAY
      (ABSOLUTE
        (PORT datab (143:143:143) (186:186:186))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[2\]\~36)
    (DELAY
      (ABSOLUTE
        (PORT datab (144:144:144) (187:187:187))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[3\]\~38)
    (DELAY
      (ABSOLUTE
        (PORT dataa (146:146:146) (191:191:191))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[4\]\~40)
    (DELAY
      (ABSOLUTE
        (PORT datab (144:144:144) (187:187:187))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[5\]\~42)
    (DELAY
      (ABSOLUTE
        (PORT dataa (146:146:146) (191:191:191))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[6\]\~44)
    (DELAY
      (ABSOLUTE
        (PORT dataa (146:146:146) (191:191:191))
        (IOPATH dataa combout (186:186:186) (175:175:175))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[7\]\~46)
    (DELAY
      (ABSOLUTE
        (PORT datab (144:144:144) (187:187:187))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1200:1200:1200) (1187:1187:1187))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[8\]\~48)
    (DELAY
      (ABSOLUTE
        (PORT datab (144:144:144) (187:187:187))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[8\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[9\]\~50)
    (DELAY
      (ABSOLUTE
        (PORT datab (144:144:144) (187:187:187))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[9\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[10\]\~52)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (188:188:188))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[10\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[11\]\~54)
    (DELAY
      (ABSOLUTE
        (PORT dataa (146:146:146) (191:191:191))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[11\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[12\]\~56)
    (DELAY
      (ABSOLUTE
        (PORT datab (145:145:145) (188:188:188))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[12\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[13\]\~58)
    (DELAY
      (ABSOLUTE
        (PORT dataa (146:146:146) (191:191:191))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[13\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[14\]\~60)
    (DELAY
      (ABSOLUTE
        (PORT datab (144:144:144) (187:187:187))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[14\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[15\]\~62)
    (DELAY
      (ABSOLUTE
        (PORT dataa (145:145:145) (190:190:190))
        (IOPATH dataa combout (165:165:165) (173:173:173))
        (IOPATH dataa cout (226:226:226) (171:171:171))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[15\])
    (DELAY
      (ABSOLUTE
        (PORT clk (978:978:978) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (982:982:982) (959:959:959))
        (PORT sclr (548:548:548) (627:627:627))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[16\]\~64)
    (DELAY
      (ABSOLUTE
        (PORT datab (143:143:143) (187:187:187))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[16\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[17\]\~66)
    (DELAY
      (ABSOLUTE
        (PORT datab (144:144:144) (187:187:187))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[17\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE pulseCnt\[18\]\~68)
    (DELAY
      (ABSOLUTE
        (PORT datab (144:144:144) (188:188:188))
        (IOPATH datab combout (192:192:192) (177:177:177))
        (IOPATH datab cout (227:227:227) (175:175:175))
        (IOPATH datad combout (68:68:68) (63:63:63))
        (IOPATH cin combout (187:187:187) (204:204:204))
        (IOPATH cin cout (34:34:34) (34:34:34))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[18\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE pulseCnt\[19\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT sclr (405:405:405) (466:466:466))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|pulsewidth\[18\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2286:2286:2286) (2566:2566:2566))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[18\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT ena (737:737:737) (796:796:796))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[19\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT asdata (2443:2443:2443) (2691:2691:2691))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT ena (737:737:737) (796:796:796))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~11)
    (DELAY
      (ABSOLUTE
        (PORT dataa (220:220:220) (272:272:272))
        (PORT datab (138:138:138) (182:182:182))
        (PORT datac (122:122:122) (161:161:161))
        (PORT datad (210:210:210) (258:258:258))
        (IOPATH dataa combout (188:188:188) (179:179:179))
        (IOPATH datab combout (166:166:166) (174:174:174))
        (IOPATH datac combout (120:120:120) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[17\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2559:2559:2559) (2846:2846:2846))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (639:639:639) (689:689:689))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[16\])
    (DELAY
      (ABSOLUTE
        (PORT clk (980:980:980) (994:994:994))
        (PORT asdata (2407:2407:2407) (2669:2669:2669))
        (PORT clrn (984:984:984) (961:961:961))
        (PORT ena (639:639:639) (689:689:689))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~10)
    (DELAY
      (ABSOLUTE
        (PORT dataa (219:219:219) (270:270:270))
        (PORT datab (136:136:136) (179:179:179))
        (PORT datad (202:202:202) (244:244:244))
        (IOPATH dataa combout (188:188:188) (179:179:179))
        (IOPATH datab combout (166:166:166) (174:174:174))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|pulsewidth\[20\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2380:2380:2380) (2662:2662:2662))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[20\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT ena (737:737:737) (796:796:796))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[21\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT asdata (2530:2530:2530) (2813:2813:2813))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT ena (737:737:737) (796:796:796))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~12)
    (DELAY
      (ABSOLUTE
        (PORT dataa (230:230:230) (284:284:284))
        (PORT datab (227:227:227) (282:282:282))
        (PORT datac (202:202:202) (247:247:247))
        (PORT datad (190:190:190) (232:232:232))
        (IOPATH dataa combout (188:188:188) (196:196:196))
        (IOPATH datab combout (190:190:190) (197:197:197))
        (IOPATH datac combout (120:120:120) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|pulsewidth\[22\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (1807:1807:1807) (1982:1982:1982))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[22\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT ena (737:737:737) (796:796:796))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|pulsewidth\[23\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT asdata (2092:2092:2092) (2275:2275:2275))
        (PORT clrn (983:983:983) (960:960:960))
        (PORT ena (737:737:737) (796:796:796))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~13)
    (DELAY
      (ABSOLUTE
        (PORT dataa (147:147:147) (192:192:192))
        (PORT datab (224:224:224) (279:279:279))
        (PORT datac (209:209:209) (260:260:260))
        (PORT datad (118:118:118) (155:155:155))
        (IOPATH dataa combout (188:188:188) (196:196:196))
        (IOPATH datab combout (190:190:190) (197:197:197))
        (IOPATH datac combout (120:120:120) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal3\~14)
    (DELAY
      (ABSOLUTE
        (PORT dataa (281:281:281) (317:317:317))
        (PORT datab (179:179:179) (212:212:212))
        (PORT datac (273:273:273) (302:302:302))
        (PORT datad (280:280:280) (320:320:320))
        (IOPATH dataa combout (159:159:159) (163:163:163))
        (IOPATH datab combout (161:161:161) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE next_state\.110\~0)
    (DELAY
      (ABSOLUTE
        (PORT datac (131:131:131) (170:170:170))
        (PORT datad (94:94:94) (115:115:115))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE next_state\.110\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (118:118:118) (147:147:147))
        (PORT datab (113:113:113) (138:138:138))
        (PORT datac (97:97:97) (118:118:118))
        (PORT datad (91:91:91) (109:109:109))
        (IOPATH dataa combout (159:159:159) (163:163:163))
        (IOPATH datab combout (161:161:161) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE state\.110)
    (DELAY
      (ABSOLUTE
        (PORT clk (998:998:998) (976:976:976))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (984:984:984) (961:961:961))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCountReg\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (998:998:998))
        (PORT asdata (454:454:454) (479:479:479))
        (PORT clrn (988:988:988) (966:966:966))
        (PORT sclr (808:808:808) (766:766:766))
        (PORT ena (505:505:505) (538:538:538))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE bitCountReg\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (985:985:985) (999:999:999))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (966:966:966))
        (PORT sclr (823:823:823) (774:774:774))
        (PORT ena (417:417:417) (434:434:434))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sclr (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Equal0\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (354:354:354) (411:411:411))
        (PORT datab (222:222:222) (273:273:273))
        (PORT datac (136:136:136) (178:178:178))
        (PORT datad (195:195:195) (235:235:235))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (120:120:120) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Selector1\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (480:480:480) (583:583:583))
        (PORT datab (215:215:215) (265:265:265))
        (PORT datac (209:209:209) (260:260:260))
        (PORT datad (206:206:206) (253:253:253))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (120:120:120) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE Selector1\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (295:295:295) (335:335:335))
        (PORT datab (472:472:472) (577:577:577))
        (PORT datac (505:505:505) (588:588:588))
        (PORT datad (93:93:93) (112:112:112))
        (IOPATH dataa combout (165:165:165) (163:163:163))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE state\.001)
    (DELAY
      (ABSOLUTE
        (PORT clk (1003:1003:1003) (982:982:982))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (989:989:989) (967:967:967))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE lock_cfg\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (514:514:514) (615:615:615))
        (PORT datab (370:370:370) (442:442:442))
        (PORT datac (325:325:325) (363:363:363))
        (PORT datad (358:358:358) (423:423:423))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (120:120:120) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE lock_cfg)
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (993:993:993))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (960:960:960))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|always5\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (732:732:732) (836:836:836))
        (PORT datab (153:153:153) (200:200:200))
        (PORT datac (303:303:303) (337:337:337))
        (PORT datad (2114:2114:2114) (2341:2341:2341))
        (IOPATH dataa combout (166:166:166) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|size\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (986:986:986) (999:999:999))
        (PORT asdata (2336:2336:2336) (2552:2552:2552))
        (PORT clrn (989:989:989) (967:967:967))
        (PORT ena (792:792:792) (857:857:857))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[0\]\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (493:493:493) (570:570:570))
        (PORT datab (465:465:465) (538:538:538))
        (PORT datad (440:440:440) (497:497:497))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[0\]\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (491:491:491) (568:568:568))
        (PORT datab (468:468:468) (551:551:551))
        (PORT datad (266:266:266) (302:302:302))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_o\~0)
    (DELAY
      (ABSOLUTE
        (PORT datab (2168:2168:2168) (2434:2434:2434))
        (PORT datac (1970:1970:1970) (2165:2165:2165))
        (PORT datad (2146:2146:2146) (2403:2403:2403))
        (IOPATH datab combout (166:166:166) (167:167:167))
        (IOPATH datac combout (119:119:119) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[1\]\~2)
    (DELAY
      (ABSOLUTE
        (PORT dataa (363:363:363) (441:441:441))
        (PORT datab (516:516:516) (611:611:611))
        (PORT datad (320:320:320) (372:372:372))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[1\]\~3)
    (DELAY
      (ABSOLUTE
        (PORT dataa (547:547:547) (630:630:630))
        (PORT datab (239:239:239) (291:291:291))
        (PORT datad (548:548:548) (632:632:632))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[2\]\~4)
    (DELAY
      (ABSOLUTE
        (PORT dataa (370:370:370) (450:450:450))
        (PORT datab (133:133:133) (176:176:176))
        (PORT datad (323:323:323) (375:375:375))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[2\]\~5)
    (DELAY
      (ABSOLUTE
        (PORT dataa (370:370:370) (451:451:451))
        (PORT datab (362:362:362) (435:435:435))
        (PORT datad (90:90:90) (107:107:107))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[3\]\~6)
    (DELAY
      (ABSOLUTE
        (PORT dataa (366:366:366) (445:445:445))
        (PORT datab (146:146:146) (190:190:190))
        (PORT datad (321:321:321) (373:373:373))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[3\]\~7)
    (DELAY
      (ABSOLUTE
        (PORT dataa (567:567:567) (658:658:658))
        (PORT datab (418:418:418) (479:479:479))
        (PORT datad (121:121:121) (160:160:160))
        (IOPATH dataa combout (166:166:166) (159:159:159))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[4\]\~8)
    (DELAY
      (ABSOLUTE
        (PORT dataa (137:137:137) (182:182:182))
        (PORT datab (744:744:744) (858:858:858))
        (PORT datad (605:605:605) (700:700:700))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[4\]\~9)
    (DELAY
      (ABSOLUTE
        (PORT dataa (566:566:566) (657:657:657))
        (PORT datad (548:548:548) (631:631:631))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[5\]\~10)
    (DELAY
      (ABSOLUTE
        (PORT dataa (625:625:625) (730:730:730))
        (PORT datab (745:745:745) (859:859:859))
        (PORT datad (128:128:128) (165:165:165))
        (IOPATH dataa combout (165:165:165) (159:159:159))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[5\]\~11)
    (DELAY
      (ABSOLUTE
        (PORT dataa (580:580:580) (661:661:661))
        (PORT datab (137:137:137) (181:181:181))
        (PORT datad (548:548:548) (632:632:632))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[6\]\~12)
    (DELAY
      (ABSOLUTE
        (PORT dataa (135:135:135) (180:180:180))
        (PORT datab (742:742:742) (856:856:856))
        (PORT datad (611:611:611) (707:707:707))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[6\]\~13)
    (DELAY
      (ABSOLUTE
        (PORT dataa (469:469:469) (537:537:537))
        (PORT datab (139:139:139) (184:184:184))
        (PORT datad (547:547:547) (631:631:631))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[7\]\~14)
    (DELAY
      (ABSOLUTE
        (PORT dataa (214:214:214) (268:268:268))
        (PORT datab (744:744:744) (857:857:857))
        (PORT datad (607:607:607) (703:703:703))
        (IOPATH dataa combout (166:166:166) (159:159:159))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[7\]\~15)
    (DELAY
      (ABSOLUTE
        (PORT dataa (297:297:297) (332:332:332))
        (PORT datab (144:144:144) (187:187:187))
        (PORT datac (711:711:711) (810:810:810))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|size\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (2392:2392:2392) (2665:2665:2665))
        (PORT datad (733:733:733) (848:848:848))
        (IOPATH dataa combout (166:166:166) (163:163:163))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|size\[8\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (997:997:997))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (987:987:987) (964:964:964))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[8\]\~16)
    (DELAY
      (ABSOLUTE
        (PORT dataa (625:625:625) (731:731:731))
        (PORT datab (744:744:744) (858:858:858))
        (PORT datad (369:369:369) (439:439:439))
        (IOPATH dataa combout (170:170:170) (165:165:165))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[8\]\~17)
    (DELAY
      (ABSOLUTE
        (PORT dataa (386:386:386) (466:466:466))
        (PORT datab (744:744:744) (858:858:858))
        (PORT datad (158:158:158) (183:183:183))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[9\]\~18)
    (DELAY
      (ABSOLUTE
        (PORT dataa (630:630:630) (736:736:736))
        (PORT datab (743:743:743) (857:857:857))
        (PORT datac (362:362:362) (433:433:433))
        (PORT datad (123:123:123) (161:161:161))
        (IOPATH dataa combout (165:165:165) (159:159:159))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[10\]\~19)
    (DELAY
      (ABSOLUTE
        (PORT dataa (484:484:484) (570:570:570))
        (PORT datab (132:132:132) (175:175:175))
        (PORT datad (435:435:435) (502:502:502))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[11\]\~20)
    (DELAY
      (ABSOLUTE
        (PORT dataa (485:485:485) (571:571:571))
        (PORT datab (454:454:454) (530:530:530))
        (PORT datad (181:181:181) (223:223:223))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (166:166:166) (158:158:158))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[12\]\~21)
    (DELAY
      (ABSOLUTE
        (PORT dataa (487:487:487) (570:570:570))
        (PORT datab (303:303:303) (356:356:356))
        (PORT datad (441:441:441) (508:508:508))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[13\]\~22)
    (DELAY
      (ABSOLUTE
        (PORT dataa (488:488:488) (575:575:575))
        (PORT datab (313:313:313) (371:371:371))
        (PORT datad (436:436:436) (504:504:504))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[14\]\~23)
    (DELAY
      (ABSOLUTE
        (PORT dataa (489:489:489) (575:575:575))
        (PORT datab (133:133:133) (175:175:175))
        (PORT datad (437:437:437) (505:505:505))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[15\]\~24)
    (DELAY
      (ABSOLUTE
        (PORT dataa (485:485:485) (571:571:571))
        (PORT datab (454:454:454) (530:530:530))
        (PORT datad (188:188:188) (228:228:228))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (166:166:166) (158:158:158))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[16\]\~25)
    (DELAY
      (ABSOLUTE
        (PORT dataa (483:483:483) (569:569:569))
        (PORT datab (133:133:133) (175:175:175))
        (PORT datad (434:434:434) (502:502:502))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[17\]\~26)
    (DELAY
      (ABSOLUTE
        (PORT dataa (491:491:491) (578:578:578))
        (PORT datab (456:456:456) (533:533:533))
        (PORT datad (119:119:119) (158:158:158))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (166:166:166) (158:158:158))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[18\]\~27)
    (DELAY
      (ABSOLUTE
        (PORT dataa (486:486:486) (570:570:570))
        (PORT datab (460:460:460) (535:535:535))
        (PORT datad (120:120:120) (159:159:159))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[19\]\~28)
    (DELAY
      (ABSOLUTE
        (PORT dataa (137:137:137) (183:183:183))
        (PORT datab (484:484:484) (566:566:566))
        (PORT datad (443:443:443) (510:510:510))
        (IOPATH dataa combout (166:166:166) (159:159:159))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[20\]\~29)
    (DELAY
      (ABSOLUTE
        (PORT dataa (486:486:486) (569:569:569))
        (PORT datab (147:147:147) (190:190:190))
        (PORT datad (440:440:440) (507:507:507))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[21\]\~30)
    (DELAY
      (ABSOLUTE
        (PORT dataa (489:489:489) (573:573:573))
        (PORT datab (461:461:461) (537:537:537))
        (PORT datad (120:120:120) (158:158:158))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (166:166:166) (158:158:158))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[22\]\~31)
    (DELAY
      (ABSOLUTE
        (PORT dataa (218:218:218) (270:270:270))
        (PORT datab (135:135:135) (181:181:181))
        (PORT datac (443:443:443) (503:503:503))
        (PORT datad (428:428:428) (493:493:493))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (166:166:166) (167:167:167))
        (IOPATH datac combout (120:120:120) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[23\]\~32)
    (DELAY
      (ABSOLUTE
        (PORT dataa (437:437:437) (507:507:507))
        (PORT datab (480:480:480) (561:561:561))
        (PORT datad (440:440:440) (507:507:507))
        (IOPATH dataa combout (166:166:166) (159:159:159))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[24\]\~33)
    (DELAY
      (ABSOLUTE
        (PORT dataa (459:459:459) (527:527:527))
        (PORT datab (299:299:299) (352:352:352))
        (PORT datad (428:428:428) (493:493:493))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[25\]\~34)
    (DELAY
      (ABSOLUTE
        (PORT dataa (219:219:219) (270:270:270))
        (PORT datab (483:483:483) (564:564:564))
        (PORT datad (442:442:442) (509:509:509))
        (IOPATH dataa combout (166:166:166) (159:159:159))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[26\]\~35)
    (DELAY
      (ABSOLUTE
        (PORT dataa (368:368:368) (448:448:448))
        (PORT datab (134:134:134) (177:177:177))
        (PORT datad (322:322:322) (374:374:374))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[27\]\~36)
    (DELAY
      (ABSOLUTE
        (PORT dataa (366:366:366) (446:446:446))
        (PORT datab (139:139:139) (185:185:185))
        (PORT datad (321:321:321) (373:373:373))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[28\]\~37)
    (DELAY
      (ABSOLUTE
        (PORT dataa (364:364:364) (443:443:443))
        (PORT datab (139:139:139) (185:185:185))
        (PORT datad (320:320:320) (372:372:372))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[29\]\~38)
    (DELAY
      (ABSOLUTE
        (PORT dataa (371:371:371) (452:452:452))
        (PORT datab (342:342:342) (398:398:398))
        (PORT datad (122:122:122) (160:160:160))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (166:166:166) (158:158:158))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[30\]\~39)
    (DELAY
      (ABSOLUTE
        (PORT dataa (632:632:632) (738:738:738))
        (PORT datab (743:743:743) (856:856:856))
        (PORT datad (116:116:116) (153:153:153))
        (IOPATH dataa combout (170:170:170) (165:165:165))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|wb_dat_rdbk\[31\]\~40)
    (DELAY
      (ABSOLUTE
        (PORT dataa (139:139:139) (186:186:186))
        (PORT datab (742:742:742) (856:856:856))
        (PORT datad (612:612:612) (709:709:709))
        (IOPATH dataa combout (166:166:166) (159:159:159))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE comb\~0)
    (DELAY
      (ABSOLUTE
        (PORT datac (490:490:490) (462:462:462))
        (PORT datad (131:131:131) (168:168:168))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_clkctrl")
    (INSTANCE comb\~0clkctrl)
    (DELAY
      (ABSOLUTE
        (PORT inclk[0] (397:397:397) (407:407:407))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|addr_wr\[1\]\~1)
    (DELAY
      (ABSOLUTE
        (PORT datad (197:197:197) (244:244:244))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|Equal0\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (338:338:338) (407:407:407))
        (PORT datad (95:95:95) (116:116:116))
        (IOPATH dataa combout (166:166:166) (173:173:173))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|full\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (221:221:221) (271:271:271))
        (PORT datab (214:214:214) (266:266:266))
        (PORT datad (199:199:199) (239:239:239))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|full\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (221:221:221) (272:272:272))
        (PORT datab (221:221:221) (277:277:277))
        (PORT datac (95:95:95) (114:114:114))
        (PORT datad (169:169:169) (197:197:197))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (120:120:120) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE full_dly)
    (DELAY
      (ABSOLUTE
        (PORT clk (1001:1001:1001) (980:980:980))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (987:987:987) (965:965:965))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|always1\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (2284:2284:2284) (2570:2570:2570))
        (PORT datab (508:508:508) (588:588:588))
        (PORT datad (95:95:95) (115:115:115))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|always1\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (2093:2093:2093) (2305:2305:2305))
        (PORT datab (142:142:142) (189:189:189))
        (PORT datac (93:93:93) (112:112:112))
        (PORT datad (162:162:162) (184:184:184))
        (IOPATH dataa combout (158:158:158) (157:157:157))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|addr_wr\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1192:1192:1192))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (476:476:476) (498:498:498))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|addr_wr\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1192:1192:1192))
        (PORT asdata (379:379:379) (424:424:424))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (476:476:476) (498:498:498))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|addr_wr\[0\]\~0)
    (DELAY
      (ABSOLUTE
        (PORT datad (128:128:128) (165:165:165))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|addr_wr\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1192:1192:1192))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (476:476:476) (498:498:498))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|Equal0\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (221:221:221) (270:270:270))
        (PORT datab (145:145:145) (188:188:188))
        (PORT datac (205:205:205) (261:261:261))
        (PORT datad (205:205:205) (256:256:256))
        (IOPATH dataa combout (188:188:188) (179:179:179))
        (IOPATH datab combout (166:166:166) (174:174:174))
        (IOPATH datac combout (120:120:120) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|always2\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (217:217:217) (268:268:268))
        (PORT datab (112:112:112) (137:137:137))
        (PORT datac (318:318:318) (382:382:382))
        (PORT datad (376:376:376) (448:448:448))
        (IOPATH dataa combout (158:158:158) (173:173:173))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (119:119:119) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|addr_rd\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (984:984:984) (997:997:997))
        (PORT asdata (494:494:494) (545:545:545))
        (PORT clrn (1168:1168:1168) (1164:1164:1164))
        (PORT ena (420:420:420) (453:453:453))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|addr_rd\[0\]\~1)
    (DELAY
      (ABSOLUTE
        (PORT datad (209:209:209) (256:256:256))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|addr_rd\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (984:984:984) (997:997:997))
        (PORT asdata (342:342:342) (369:369:369))
        (PORT clrn (1168:1168:1168) (1164:1164:1164))
        (PORT ena (420:420:420) (453:453:453))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|addr_rd\[1\]\~0)
    (DELAY
      (ABSOLUTE
        (PORT datad (205:205:205) (245:245:245))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|addr_rd\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (984:984:984) (997:997:997))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1168:1168:1168) (1164:1164:1164))
        (PORT ena (420:420:420) (453:453:453))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[2\]\.mem_byte\|byte_reg\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1185:1185:1185) (1182:1182:1182))
        (PORT asdata (2331:2331:2331) (2588:2588:2588))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (972:972:972) (1091:1091:1091))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[1\]\.mem_byte\|byte_reg\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1210:1210:1210) (1196:1196:1196))
        (PORT asdata (2328:2328:2328) (2585:2585:2585))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (817:817:817) (914:914:914))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem_byte_out\[5\]\~2)
    (DELAY
      (ABSOLUTE
        (PORT dataa (720:720:720) (849:849:849))
        (PORT datab (215:215:215) (272:272:272))
        (PORT datad (188:188:188) (233:233:233))
        (IOPATH dataa combout (166:166:166) (159:159:159))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[5\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2141:2141:2141) (2401:2401:2401))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1212:1212:1212) (1198:1198:1198))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (1215:1215:1215) (1076:1076:1076))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|fifo_out\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (488:488:488) (532:532:532))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1086:1086:1086) (996:996:996))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[2\]\.mem_byte\|byte_reg\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1187:1187:1187) (1184:1184:1184))
        (PORT asdata (2136:2136:2136) (2327:2327:2327))
        (PORT clrn (986:986:986) (964:964:964))
        (PORT ena (642:642:642) (711:711:711))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[1\]\.mem_byte\|byte_reg\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1212:1212:1212) (1199:1199:1199))
        (PORT asdata (2135:2135:2135) (2326:2326:2326))
        (PORT clrn (986:986:986) (964:964:964))
        (PORT ena (646:646:646) (713:713:713))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem_byte_out\[4\]\~3)
    (DELAY
      (ABSOLUTE
        (PORT dataa (363:363:363) (438:438:438))
        (PORT datab (363:363:363) (444:444:444))
        (PORT datad (822:822:822) (958:958:958))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[4\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (1981:1981:1981) (2195:2195:2195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1212:1212:1212) (1198:1198:1198))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (1215:1215:1215) (1076:1076:1076))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|fifo_out\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (364:364:364) (403:403:403))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1101:1101:1101) (1008:1008:1008))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[2\]\.mem_byte\|byte_reg\[1\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2165:2165:2165) (2433:2433:2433))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[2\]\.mem_byte\|byte_reg\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1187:1187:1187) (1184:1184:1184))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (986:986:986) (964:964:964))
        (PORT ena (642:642:642) (711:711:711))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[1\]\.mem_byte\|byte_reg\[1\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2165:2165:2165) (2432:2432:2432))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[1\]\.mem_byte\|byte_reg\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1212:1212:1212) (1199:1199:1199))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (986:986:986) (964:964:964))
        (PORT ena (646:646:646) (713:713:713))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem_byte_out\[1\]\~6)
    (DELAY
      (ABSOLUTE
        (PORT dataa (352:352:352) (417:417:417))
        (PORT datab (842:842:842) (982:982:982))
        (PORT datad (338:338:338) (401:401:401))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[1\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2277:2277:2277) (2578:2578:2578))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1212:1212:1212) (1198:1198:1198))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (1215:1215:1215) (1076:1076:1076))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|fifo_out\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (360:360:360) (397:397:397))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1101:1101:1101) (1008:1008:1008))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[2\]\.mem_byte\|byte_reg\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1209:1209:1209) (1194:1194:1194))
        (PORT asdata (2310:2310:2310) (2537:2537:2537))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (785:785:785) (863:863:863))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[1\]\.mem_byte\|byte_reg\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1184:1184:1184) (1180:1180:1180))
        (PORT asdata (2305:2305:2305) (2531:2531:2531))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (706:706:706) (793:793:793))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem_byte_out\[3\]\~4)
    (DELAY
      (ABSOLUTE
        (PORT dataa (699:699:699) (806:806:806))
        (PORT datab (214:214:214) (270:270:270))
        (PORT datad (197:197:197) (246:246:246))
        (IOPATH dataa combout (166:166:166) (159:159:159))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[3\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datac (2144:2144:2144) (2382:2382:2382))
        (IOPATH datac combout (119:119:119) (124:124:124))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1165:1165:1165) (1162:1162:1162))
        (PORT ena (945:945:945) (846:846:846))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|fifo_out\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (359:359:359) (397:397:397))
        (PORT clrn (982:982:982) (961:961:961))
        (PORT sload (1115:1115:1115) (1016:1016:1016))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[2\]\.mem_byte\|byte_reg\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1185:1185:1185) (1182:1182:1182))
        (PORT asdata (2417:2417:2417) (2674:2674:2674))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (972:972:972) (1091:1091:1091))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[1\]\.mem_byte\|byte_reg\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1210:1210:1210) (1196:1196:1196))
        (PORT asdata (2418:2418:2418) (2674:2674:2674))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (817:817:817) (914:914:914))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem_byte_out\[0\]\~7)
    (DELAY
      (ABSOLUTE
        (PORT dataa (220:220:220) (279:279:279))
        (PORT datab (204:204:204) (254:254:254))
        (PORT datad (699:699:699) (818:818:818))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[0\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datac (2286:2286:2286) (2545:2545:2545))
        (IOPATH datac combout (119:119:119) (124:124:124))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (980:980:980) (958:958:958))
        (PORT ena (925:925:925) (843:843:843))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|fifo_out\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (531:531:531) (602:602:602))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1086:1086:1086) (996:996:996))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[1\]\.mem_byte\|byte_reg\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1189:1189:1189))
        (PORT asdata (2780:2780:2780) (3076:3076:3076))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (854:854:854) (954:954:954))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[2\]\.mem_byte\|byte_reg\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1180:1180:1180) (1175:1175:1175))
        (PORT asdata (2783:2783:2783) (3079:3079:3079))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (847:847:847) (939:939:939))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem_byte_out\[2\]\~5)
    (DELAY
      (ABSOLUTE
        (PORT dataa (328:328:328) (396:396:396))
        (PORT datab (595:595:595) (710:710:710))
        (PORT datad (196:196:196) (244:244:244))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (169:169:169) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[2\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2458:2458:2458) (2751:2751:2751))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1202:1202:1202) (1189:1189:1189))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (1028:1028:1028) (941:941:941))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|fifo_out\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (977:977:977) (989:989:989))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (526:526:526) (588:588:588))
        (PORT clrn (977:977:977) (956:956:956))
        (PORT sload (937:937:937) (864:864:864))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[2\]\.mem_byte\|byte_reg\[0\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2108:2108:2108) (2354:2354:2354))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[2\]\.mem_byte\|byte_reg\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1180:1180:1180) (1175:1175:1175))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (847:847:847) (939:939:939))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[1\]\.mem_byte\|byte_reg\[0\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2105:2105:2105) (2352:2352:2352))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[1\]\.mem_byte\|byte_reg\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1189:1189:1189))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (854:854:854) (954:954:954))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem_byte_out\[0\]\~7)
    (DELAY
      (ABSOLUTE
        (PORT dataa (208:208:208) (262:262:262))
        (PORT datab (593:593:593) (708:708:708))
        (PORT datad (188:188:188) (233:233:233))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[0\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2128:2128:2128) (2382:2382:2382))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1202:1202:1202) (1189:1189:1189))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (1028:1028:1028) (941:941:941))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|fifo_out\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (977:977:977) (989:989:989))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (518:518:518) (568:568:568))
        (PORT clrn (977:977:977) (956:956:956))
        (PORT sload (937:937:937) (864:864:864))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[2\]\.mem_byte\|byte_reg\[5\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (1803:1803:1803) (1982:1982:1982))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[2\]\.mem_byte\|byte_reg\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1199:1199:1199) (1190:1190:1190))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (973:973:973) (1086:1086:1086))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[1\]\.mem_byte\|byte_reg\[5\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (1931:1931:1931) (2127:2127:2127))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[1\]\.mem_byte\|byte_reg\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1186:1186:1186) (1183:1183:1183))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (918:918:918) (1039:1039:1039))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem_byte_out\[5\]\~2)
    (DELAY
      (ABSOLUTE
        (PORT dataa (459:459:459) (540:540:540))
        (PORT datab (729:729:729) (851:851:851))
        (PORT datad (335:335:335) (399:399:399))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[5\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datac (2199:2199:2199) (2426:2426:2426))
        (IOPATH datac combout (119:119:119) (124:124:124))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1165:1165:1165) (1162:1162:1162))
        (PORT ena (945:945:945) (846:846:846))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|fifo_out\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (358:358:358) (396:396:396))
        (PORT clrn (982:982:982) (961:961:961))
        (PORT sload (1115:1115:1115) (1016:1016:1016))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~31)
    (DELAY
      (ABSOLUTE
        (PORT datad (477:477:477) (569:569:569))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[2\]\.mem_byte\|byte_reg\[0\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2202:2202:2202) (2425:2425:2425))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[2\]\.mem_byte\|byte_reg\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1209:1209:1209) (1194:1194:1194))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (785:785:785) (863:863:863))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[1\]\.mem_byte\|byte_reg\[0\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2204:2204:2204) (2426:2426:2426))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[1\]\.mem_byte\|byte_reg\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1184:1184:1184) (1180:1180:1180))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (706:706:706) (793:793:793))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem_byte_out\[0\]\~7)
    (DELAY
      (ABSOLUTE
        (PORT dataa (205:205:205) (258:258:258))
        (PORT datab (730:730:730) (852:852:852))
        (PORT datad (303:303:303) (359:359:359))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[0\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datac (2194:2194:2194) (2441:2441:2441))
        (IOPATH datac combout (119:119:119) (124:124:124))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1165:1165:1165) (1162:1162:1162))
        (PORT ena (945:945:945) (846:846:846))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|fifo_out\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (491:491:491) (536:536:536))
        (PORT clrn (982:982:982) (961:961:961))
        (PORT sload (1115:1115:1115) (1016:1016:1016))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (998:998:998) (978:978:978))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (376:376:376) (426:426:426))
        (PORT clrn (984:984:984) (963:963:963))
        (PORT sload (958:958:958) (1095:1095:1095))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[1\]\.mem_byte\|byte_reg\[1\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (1814:1814:1814) (1993:1993:1993))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[1\]\.mem_byte\|byte_reg\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1186:1186:1186) (1183:1183:1183))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (918:918:918) (1039:1039:1039))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[2\]\.mem_byte\|byte_reg\[1\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (1908:1908:1908) (2112:2112:2112))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[2\]\.mem_byte\|byte_reg\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1209:1209:1209) (1194:1194:1194))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (785:785:785) (863:863:863))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem_byte_out\[1\]\~6)
    (DELAY
      (ABSOLUTE
        (PORT dataa (359:359:359) (437:437:437))
        (PORT datab (730:730:730) (852:852:852))
        (PORT datad (197:197:197) (245:245:245))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (169:169:169) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[1\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2007:2007:2007) (2216:2216:2216))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1165:1165:1165) (1162:1162:1162))
        (PORT ena (945:945:945) (846:846:846))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|fifo_out\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (517:517:517) (573:573:573))
        (PORT clrn (982:982:982) (961:961:961))
        (PORT sload (1115:1115:1115) (1016:1016:1016))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~30)
    (DELAY
      (ABSOLUTE
        (PORT dataa (211:211:211) (265:265:265))
        (PORT datab (600:600:600) (721:721:721))
        (PORT datad (119:119:119) (157:157:157))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (998:998:998) (978:978:978))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1177:1177:1177) (1178:1178:1178))
        (PORT ena (680:680:680) (748:748:748))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[2\]\.mem_byte\|byte_reg\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1209:1209:1209) (1194:1194:1194))
        (PORT asdata (2062:2062:2062) (2258:2258:2258))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (785:785:785) (863:863:863))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[1\]\.mem_byte\|byte_reg\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1184:1184:1184) (1180:1180:1180))
        (PORT asdata (2061:2061:2061) (2258:2258:2258))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (706:706:706) (793:793:793))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem_byte_out\[2\]\~5)
    (DELAY
      (ABSOLUTE
        (PORT dataa (218:218:218) (276:276:276))
        (PORT datab (204:204:204) (255:255:255))
        (PORT datad (710:710:710) (825:825:825))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[2\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (1998:1998:1998) (2207:2207:2207))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1165:1165:1165) (1162:1162:1162))
        (PORT ena (945:945:945) (846:846:846))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|fifo_out\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (367:367:367) (412:412:412))
        (PORT clrn (982:982:982) (961:961:961))
        (PORT sload (1115:1115:1115) (1016:1016:1016))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~29)
    (DELAY
      (ABSOLUTE
        (PORT datab (602:602:602) (723:723:723))
        (PORT datac (120:120:120) (159:159:159))
        (PORT datad (118:118:118) (154:154:154))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (998:998:998) (978:978:978))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1177:1177:1177) (1178:1178:1178))
        (PORT ena (680:680:680) (748:748:748))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[1\]\.mem_byte\|byte_reg\[3\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (1913:1913:1913) (2123:2123:2123))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[1\]\.mem_byte\|byte_reg\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1184:1184:1184) (1180:1180:1180))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (706:706:706) (793:793:793))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[2\]\.mem_byte\|byte_reg\[3\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (1911:1911:1911) (2120:2120:2120))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[2\]\.mem_byte\|byte_reg\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1209:1209:1209) (1194:1194:1194))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (785:785:785) (863:863:863))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem_byte_out\[3\]\~4)
    (DELAY
      (ABSOLUTE
        (PORT dataa (320:320:320) (383:383:383))
        (PORT datab (729:729:729) (851:851:851))
        (PORT datad (190:190:190) (234:234:234))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (169:169:169) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[3\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datac (2028:2028:2028) (2240:2240:2240))
        (IOPATH datac combout (119:119:119) (124:124:124))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1165:1165:1165) (1162:1162:1162))
        (PORT ena (945:945:945) (846:846:846))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|fifo_out\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (364:364:364) (408:408:408))
        (PORT clrn (982:982:982) (961:961:961))
        (PORT sload (1115:1115:1115) (1016:1016:1016))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~28)
    (DELAY
      (ABSOLUTE
        (PORT datab (600:600:600) (720:720:720))
        (PORT datac (121:121:121) (160:160:160))
        (PORT datad (119:119:119) (156:156:156))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (998:998:998) (978:978:978))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1177:1177:1177) (1178:1178:1178))
        (PORT ena (680:680:680) (748:748:748))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[1\]\.mem_byte\|byte_reg\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1184:1184:1184) (1180:1180:1180))
        (PORT asdata (2290:2290:2290) (2529:2529:2529))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (706:706:706) (793:793:793))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[2\]\.mem_byte\|byte_reg\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1209:1209:1209) (1194:1194:1194))
        (PORT asdata (2288:2288:2288) (2526:2526:2526))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (785:785:785) (863:863:863))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem_byte_out\[4\]\~3)
    (DELAY
      (ABSOLUTE
        (PORT dataa (315:315:315) (374:374:374))
        (PORT datab (216:216:216) (273:273:273))
        (PORT datad (709:709:709) (824:824:824))
        (IOPATH dataa combout (166:166:166) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[4\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2385:2385:2385) (2669:2669:2669))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1165:1165:1165) (1162:1162:1162))
        (PORT ena (945:945:945) (846:846:846))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|fifo_out\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (530:530:530) (596:596:596))
        (PORT clrn (982:982:982) (961:961:961))
        (PORT sload (1115:1115:1115) (1016:1016:1016))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~27)
    (DELAY
      (ABSOLUTE
        (PORT datab (600:600:600) (720:720:720))
        (PORT datac (118:118:118) (157:157:157))
        (PORT datad (117:117:117) (153:153:153))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (998:998:998) (978:978:978))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1177:1177:1177) (1178:1178:1178))
        (PORT ena (680:680:680) (748:748:748))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~26)
    (DELAY
      (ABSOLUTE
        (PORT dataa (136:136:136) (181:181:181))
        (PORT datab (602:602:602) (722:722:722))
        (PORT datad (119:119:119) (156:156:156))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (169:169:169) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (998:998:998) (978:978:978))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1177:1177:1177) (1178:1178:1178))
        (PORT ena (680:680:680) (748:748:748))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[1\]\.mem_byte\|byte_reg\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1184:1184:1184) (1180:1180:1180))
        (PORT asdata (2516:2516:2516) (2788:2788:2788))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (706:706:706) (793:793:793))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[2\]\.mem_byte\|byte_reg\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1209:1209:1209) (1194:1194:1194))
        (PORT asdata (2518:2518:2518) (2789:2789:2789))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (785:785:785) (863:863:863))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem_byte_out\[6\]\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (217:217:217) (275:275:275))
        (PORT datab (215:215:215) (272:272:272))
        (PORT datad (709:709:709) (825:825:825))
        (IOPATH dataa combout (166:166:166) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[6\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2367:2367:2367) (2658:2658:2658))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1165:1165:1165) (1162:1162:1162))
        (PORT ena (945:945:945) (846:846:846))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|fifo_out\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (526:526:526) (590:590:590))
        (PORT clrn (982:982:982) (961:961:961))
        (PORT sload (1115:1115:1115) (1016:1016:1016))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~25)
    (DELAY
      (ABSOLUTE
        (PORT datab (602:602:602) (722:722:722))
        (PORT datac (119:119:119) (157:157:157))
        (PORT datad (118:118:118) (154:154:154))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (998:998:998) (978:978:978))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1177:1177:1177) (1178:1178:1178))
        (PORT ena (680:680:680) (748:748:748))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[1\]\.mem_byte\|byte_reg\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1184:1184:1184) (1180:1180:1180))
        (PORT asdata (2123:2123:2123) (2314:2314:2314))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (706:706:706) (793:793:793))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[2\]\.mem_byte\|byte_reg\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1209:1209:1209) (1194:1194:1194))
        (PORT asdata (2125:2125:2125) (2316:2316:2316))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (785:785:785) (863:863:863))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem_byte_out\[7\]\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (323:323:323) (389:389:389))
        (PORT datab (727:727:727) (849:849:849))
        (PORT datad (299:299:299) (354:354:354))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (169:169:169) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[7\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datac (2189:2189:2189) (2425:2425:2425))
        (IOPATH datac combout (119:119:119) (124:124:124))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|mem\[0\]\.mem_byte\|byte_reg\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1165:1165:1165) (1162:1162:1162))
        (PORT ena (945:945:945) (846:846:846))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp8\|fifo_out\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (982:982:982) (994:994:994))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (361:361:361) (399:399:399))
        (PORT clrn (982:982:982) (961:961:961))
        (PORT sload (1115:1115:1115) (1016:1016:1016))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~24)
    (DELAY
      (ABSOLUTE
        (PORT dataa (138:138:138) (183:183:183))
        (PORT datab (600:600:600) (720:720:720))
        (PORT datad (118:118:118) (154:154:154))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (998:998:998) (978:978:978))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1177:1177:1177) (1178:1178:1178))
        (PORT ena (680:680:680) (748:748:748))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~23)
    (DELAY
      (ABSOLUTE
        (PORT datab (736:736:736) (857:857:857))
        (PORT datac (119:119:119) (157:157:157))
        (PORT datad (337:337:337) (394:394:394))
        (IOPATH datab combout (188:188:188) (177:177:177))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[8\])
    (DELAY
      (ABSOLUTE
        (PORT clk (993:993:993) (973:973:973))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1159:1159:1159) (1153:1153:1153))
        (PORT ena (855:855:855) (950:950:950))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[2\]\.mem_byte\|byte_reg\[1\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2147:2147:2147) (2408:2408:2408))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[2\]\.mem_byte\|byte_reg\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1180:1180:1180) (1175:1175:1175))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (847:847:847) (939:939:939))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[1\]\.mem_byte\|byte_reg\[1\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2146:2146:2146) (2407:2407:2407))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[1\]\.mem_byte\|byte_reg\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1189:1189:1189))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (854:854:854) (954:954:954))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem_byte_out\[1\]\~6)
    (DELAY
      (ABSOLUTE
        (PORT dataa (221:221:221) (280:280:280))
        (PORT datab (591:591:591) (706:706:706))
        (PORT datad (188:188:188) (232:232:232))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1202:1202:1202) (1189:1189:1189))
        (PORT asdata (2350:2350:2350) (2620:2620:2620))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (1028:1028:1028) (941:941:941))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|fifo_out\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (977:977:977) (989:989:989))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (642:642:642) (723:723:723))
        (PORT clrn (977:977:977) (956:956:956))
        (PORT sload (937:937:937) (864:864:864))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~22)
    (DELAY
      (ABSOLUTE
        (PORT dataa (136:136:136) (182:182:182))
        (PORT datab (734:734:734) (855:855:855))
        (PORT datad (119:119:119) (157:157:157))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[9\])
    (DELAY
      (ABSOLUTE
        (PORT clk (993:993:993) (973:973:973))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1159:1159:1159) (1153:1153:1153))
        (PORT ena (855:855:855) (950:950:950))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~21)
    (DELAY
      (ABSOLUTE
        (PORT dataa (138:138:138) (184:184:184))
        (PORT datab (135:135:135) (179:179:179))
        (PORT datad (718:718:718) (835:835:835))
        (IOPATH dataa combout (166:166:166) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[10\])
    (DELAY
      (ABSOLUTE
        (PORT clk (993:993:993) (973:973:973))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1159:1159:1159) (1153:1153:1153))
        (PORT ena (855:855:855) (950:950:950))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[1\]\.mem_byte\|byte_reg\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1189:1189:1189))
        (PORT asdata (2483:2483:2483) (2747:2747:2747))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (854:854:854) (954:954:954))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[2\]\.mem_byte\|byte_reg\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1180:1180:1180) (1175:1175:1175))
        (PORT asdata (2481:2481:2481) (2745:2745:2745))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (847:847:847) (939:939:939))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem_byte_out\[3\]\~4)
    (DELAY
      (ABSOLUTE
        (PORT dataa (208:208:208) (261:261:261))
        (PORT datab (593:593:593) (708:708:708))
        (PORT datad (312:312:312) (369:369:369))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (169:169:169) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[3\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2291:2291:2291) (2558:2558:2558))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1202:1202:1202) (1189:1189:1189))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (1028:1028:1028) (941:941:941))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|fifo_out\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (977:977:977) (989:989:989))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (531:531:531) (585:585:585))
        (PORT clrn (977:977:977) (956:956:956))
        (PORT sload (937:937:937) (864:864:864))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~20)
    (DELAY
      (ABSOLUTE
        (PORT dataa (136:136:136) (181:181:181))
        (PORT datab (736:736:736) (858:858:858))
        (PORT datad (118:118:118) (154:154:154))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[11\])
    (DELAY
      (ABSOLUTE
        (PORT clk (993:993:993) (973:973:973))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1159:1159:1159) (1153:1153:1153))
        (PORT ena (855:855:855) (950:950:950))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[1\]\.mem_byte\|byte_reg\[4\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2128:2128:2128) (2378:2378:2378))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[1\]\.mem_byte\|byte_reg\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1189:1189:1189))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (854:854:854) (954:954:954))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[2\]\.mem_byte\|byte_reg\[4\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2130:2130:2130) (2380:2380:2380))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[2\]\.mem_byte\|byte_reg\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1180:1180:1180) (1175:1175:1175))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (847:847:847) (939:939:939))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem_byte_out\[4\]\~3)
    (DELAY
      (ABSOLUTE
        (PORT dataa (344:344:344) (417:417:417))
        (PORT datab (594:594:594) (709:709:709))
        (PORT datad (313:313:313) (372:372:372))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (169:169:169) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[4\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2240:2240:2240) (2508:2508:2508))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1202:1202:1202) (1189:1189:1189))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (1028:1028:1028) (941:941:941))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|fifo_out\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (977:977:977) (989:989:989))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (520:520:520) (585:585:585))
        (PORT clrn (977:977:977) (956:956:956))
        (PORT sload (937:937:937) (864:864:864))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~19)
    (DELAY
      (ABSOLUTE
        (PORT datab (733:733:733) (855:855:855))
        (PORT datac (120:120:120) (159:159:159))
        (PORT datad (117:117:117) (154:154:154))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[12\])
    (DELAY
      (ABSOLUTE
        (PORT clk (993:993:993) (973:973:973))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1159:1159:1159) (1153:1153:1153))
        (PORT ena (855:855:855) (950:950:950))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[2\]\.mem_byte\|byte_reg\[5\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2303:2303:2303) (2574:2574:2574))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[2\]\.mem_byte\|byte_reg\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1180:1180:1180) (1175:1175:1175))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (847:847:847) (939:939:939))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[1\]\.mem_byte\|byte_reg\[5\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2301:2301:2301) (2572:2572:2572))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[1\]\.mem_byte\|byte_reg\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1189:1189:1189))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (854:854:854) (954:954:954))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem_byte_out\[5\]\~2)
    (DELAY
      (ABSOLUTE
        (PORT dataa (327:327:327) (386:386:386))
        (PORT datab (592:592:592) (706:706:706))
        (PORT datad (198:198:198) (247:247:247))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1202:1202:1202) (1189:1189:1189))
        (PORT asdata (2504:2504:2504) (2783:2783:2783))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (1028:1028:1028) (941:941:941))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|fifo_out\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (977:977:977) (989:989:989))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (525:525:525) (578:578:578))
        (PORT clrn (977:977:977) (956:956:956))
        (PORT sload (937:937:937) (864:864:864))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~18)
    (DELAY
      (ABSOLUTE
        (PORT dataa (136:136:136) (182:182:182))
        (PORT datab (134:134:134) (178:178:178))
        (PORT datad (718:718:718) (834:834:834))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[13\])
    (DELAY
      (ABSOLUTE
        (PORT clk (993:993:993) (973:973:973))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1159:1159:1159) (1153:1153:1153))
        (PORT ena (855:855:855) (950:950:950))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[1\]\.mem_byte\|byte_reg\[6\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2207:2207:2207) (2468:2468:2468))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[1\]\.mem_byte\|byte_reg\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1189:1189:1189))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (854:854:854) (954:954:954))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[2\]\.mem_byte\|byte_reg\[6\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2208:2208:2208) (2469:2469:2469))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[2\]\.mem_byte\|byte_reg\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1180:1180:1180) (1175:1175:1175))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (847:847:847) (939:939:939))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem_byte_out\[6\]\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (330:330:330) (396:396:396))
        (PORT datab (591:591:591) (706:706:706))
        (PORT datad (306:306:306) (359:359:359))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (169:169:169) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[6\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2247:2247:2247) (2509:2509:2509))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1202:1202:1202) (1189:1189:1189))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (1028:1028:1028) (941:941:941))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|fifo_out\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (977:977:977) (989:989:989))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (508:508:508) (557:557:557))
        (PORT clrn (977:977:977) (956:956:956))
        (PORT sload (937:937:937) (864:864:864))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~17)
    (DELAY
      (ABSOLUTE
        (PORT dataa (138:138:138) (183:183:183))
        (PORT datab (732:732:732) (853:853:853))
        (PORT datad (120:120:120) (158:158:158))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[14\])
    (DELAY
      (ABSOLUTE
        (PORT clk (993:993:993) (973:973:973))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1159:1159:1159) (1153:1153:1153))
        (PORT ena (855:855:855) (950:950:950))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[2\]\.mem_byte\|byte_reg\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1180:1180:1180) (1175:1175:1175))
        (PORT asdata (2305:2305:2305) (2560:2560:2560))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (847:847:847) (939:939:939))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[1\]\.mem_byte\|byte_reg\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1205:1205:1205) (1189:1189:1189))
        (PORT asdata (2304:2304:2304) (2560:2560:2560))
        (PORT clrn (977:977:977) (955:955:955))
        (PORT ena (854:854:854) (954:954:954))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem_byte_out\[7\]\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (210:210:210) (263:263:263))
        (PORT datab (595:595:595) (710:710:710))
        (PORT datad (199:199:199) (247:247:247))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[7\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2147:2147:2147) (2407:2407:2407))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|mem\[0\]\.mem_byte\|byte_reg\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1202:1202:1202) (1189:1189:1189))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (1028:1028:1028) (941:941:941))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp7\|fifo_out\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (977:977:977) (989:989:989))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (525:525:525) (588:588:588))
        (PORT clrn (977:977:977) (956:956:956))
        (PORT sload (937:937:937) (864:864:864))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~16)
    (DELAY
      (ABSOLUTE
        (PORT dataa (135:135:135) (181:181:181))
        (PORT datab (733:733:733) (854:854:854))
        (PORT datad (117:117:117) (154:154:154))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[15\])
    (DELAY
      (ABSOLUTE
        (PORT clk (993:993:993) (973:973:973))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1159:1159:1159) (1153:1153:1153))
        (PORT ena (855:855:855) (950:950:950))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~15)
    (DELAY
      (ABSOLUTE
        (PORT dataa (138:138:138) (183:183:183))
        (PORT datab (573:573:573) (682:682:682))
        (PORT datad (487:487:487) (573:573:573))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (169:169:169) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[16\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1166:1166:1166) (1158:1158:1158))
        (PORT ena (675:675:675) (732:732:732))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[1\]\.mem_byte\|byte_reg\[1\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2232:2232:2232) (2483:2483:2483))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[1\]\.mem_byte\|byte_reg\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1210:1210:1210) (1196:1196:1196))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (817:817:817) (914:914:914))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[2\]\.mem_byte\|byte_reg\[1\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2232:2232:2232) (2483:2483:2483))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[2\]\.mem_byte\|byte_reg\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1185:1185:1185) (1182:1182:1182))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (972:972:972) (1091:1091:1091))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem_byte_out\[1\]\~6)
    (DELAY
      (ABSOLUTE
        (PORT dataa (207:207:207) (261:261:261))
        (PORT datab (215:215:215) (271:271:271))
        (PORT datad (698:698:698) (817:817:817))
        (IOPATH dataa combout (166:166:166) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[1\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2392:2392:2392) (2671:2671:2671))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (980:980:980) (958:958:958))
        (PORT ena (925:925:925) (843:843:843))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|fifo_out\[1\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (517:517:517) (581:581:581))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1086:1086:1086) (996:996:996))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~14)
    (DELAY
      (ABSOLUTE
        (PORT datab (578:578:578) (689:689:689))
        (PORT datac (122:122:122) (161:161:161))
        (PORT datad (117:117:117) (154:154:154))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[17\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1166:1166:1166) (1158:1158:1158))
        (PORT ena (675:675:675) (732:732:732))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[1\]\.mem_byte\|byte_reg\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1210:1210:1210) (1196:1196:1196))
        (PORT asdata (2338:2338:2338) (2586:2586:2586))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (817:817:817) (914:914:914))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[2\]\.mem_byte\|byte_reg\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1185:1185:1185) (1182:1182:1182))
        (PORT asdata (2341:2341:2341) (2591:2591:2591))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (972:972:972) (1091:1091:1091))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem_byte_out\[2\]\~5)
    (DELAY
      (ABSOLUTE
        (PORT dataa (721:721:721) (851:851:851))
        (PORT datab (217:217:217) (274:274:274))
        (PORT datad (196:196:196) (244:244:244))
        (IOPATH dataa combout (172:172:172) (165:165:165))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[2\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datac (2157:2157:2157) (2407:2407:2407))
        (IOPATH datac combout (119:119:119) (124:124:124))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (980:980:980) (958:958:958))
        (PORT ena (925:925:925) (843:843:843))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|fifo_out\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (517:517:517) (571:571:571))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1086:1086:1086) (996:996:996))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~13)
    (DELAY
      (ABSOLUTE
        (PORT datab (577:577:577) (688:688:688))
        (PORT datac (119:119:119) (158:158:158))
        (PORT datad (118:118:118) (156:156:156))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[18\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1166:1166:1166) (1158:1158:1158))
        (PORT ena (675:675:675) (732:732:732))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~12)
    (DELAY
      (ABSOLUTE
        (PORT datab (579:579:579) (690:690:690))
        (PORT datac (335:335:335) (392:392:392))
        (PORT datad (117:117:117) (154:154:154))
        (IOPATH datab combout (188:188:188) (177:177:177))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[19\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1166:1166:1166) (1158:1158:1158))
        (PORT ena (675:675:675) (732:732:732))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[1\]\.mem_byte\|byte_reg\[4\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2482:2482:2482) (2768:2768:2768))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[1\]\.mem_byte\|byte_reg\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1210:1210:1210) (1196:1196:1196))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (817:817:817) (914:914:914))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[2\]\.mem_byte\|byte_reg\[4\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2481:2481:2481) (2767:2767:2767))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[2\]\.mem_byte\|byte_reg\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1185:1185:1185) (1182:1182:1182))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (972:972:972) (1091:1091:1091))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem_byte_out\[4\]\~3)
    (DELAY
      (ABSOLUTE
        (PORT dataa (216:216:216) (274:274:274))
        (PORT datab (204:204:204) (255:255:255))
        (PORT datad (698:698:698) (818:818:818))
        (IOPATH dataa combout (166:166:166) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[4\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datac (2630:2630:2630) (2925:2925:2925))
        (IOPATH datac combout (119:119:119) (124:124:124))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (980:980:980) (958:958:958))
        (PORT ena (925:925:925) (843:843:843))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|fifo_out\[4\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (520:520:520) (575:575:575))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1086:1086:1086) (996:996:996))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~11)
    (DELAY
      (ABSOLUTE
        (PORT datab (574:574:574) (684:684:684))
        (PORT datac (121:121:121) (160:160:160))
        (PORT datad (119:119:119) (156:156:156))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[20\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1166:1166:1166) (1158:1158:1158))
        (PORT ena (675:675:675) (732:732:732))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[1\]\.mem_byte\|byte_reg\[5\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2356:2356:2356) (2642:2642:2642))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[1\]\.mem_byte\|byte_reg\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1210:1210:1210) (1196:1196:1196))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (817:817:817) (914:914:914))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[2\]\.mem_byte\|byte_reg\[5\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2354:2354:2354) (2640:2640:2640))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[2\]\.mem_byte\|byte_reg\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1185:1185:1185) (1182:1182:1182))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (972:972:972) (1091:1091:1091))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem_byte_out\[5\]\~2)
    (DELAY
      (ABSOLUTE
        (PORT dataa (349:349:349) (415:415:415))
        (PORT datab (560:560:560) (662:662:662))
        (PORT datad (357:357:357) (427:427:427))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (169:169:169) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[5\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2328:2328:2328) (2605:2605:2605))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (980:980:980) (958:958:958))
        (PORT ena (925:925:925) (843:843:843))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|fifo_out\[5\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (296:296:296) (333:333:333))
        (PORT clrn (980:980:980) (958:958:958))
        (PORT sload (923:923:923) (849:849:849))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~10)
    (DELAY
      (ABSOLUTE
        (PORT datab (575:575:575) (684:684:684))
        (PORT datac (119:119:119) (158:158:158))
        (PORT datad (352:352:352) (421:421:421))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[21\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1166:1166:1166) (1158:1158:1158))
        (PORT ena (675:675:675) (732:732:732))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[2\]\.mem_byte\|byte_reg\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1187:1187:1187) (1184:1184:1184))
        (PORT asdata (2122:2122:2122) (2319:2319:2319))
        (PORT clrn (986:986:986) (964:964:964))
        (PORT ena (642:642:642) (711:711:711))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[1\]\.mem_byte\|byte_reg\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1212:1212:1212) (1199:1199:1199))
        (PORT asdata (2116:2116:2116) (2312:2312:2312))
        (PORT clrn (986:986:986) (964:964:964))
        (PORT ena (646:646:646) (713:713:713))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem_byte_out\[6\]\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (483:483:483) (563:563:563))
        (PORT datab (203:203:203) (250:250:250))
        (PORT datad (823:823:823) (959:959:959))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1212:1212:1212) (1198:1198:1198))
        (PORT asdata (2113:2113:2113) (2296:2296:2296))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (1215:1215:1215) (1076:1076:1076))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|fifo_out\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (526:526:526) (588:588:588))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1101:1101:1101) (1008:1008:1008))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~9)
    (DELAY
      (ABSOLUTE
        (PORT datab (589:589:589) (709:709:709))
        (PORT datac (189:189:189) (235:235:235))
        (PORT datad (117:117:117) (154:154:154))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[22\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1167:1167:1167) (1160:1160:1160))
        (PORT ena (664:664:664) (729:729:729))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[1\]\.mem_byte\|byte_reg\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1186:1186:1186) (1183:1183:1183))
        (PORT asdata (2499:2499:2499) (2739:2739:2739))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (918:918:918) (1039:1039:1039))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[2\]\.mem_byte\|byte_reg\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1187:1187:1187) (1184:1184:1184))
        (PORT asdata (2240:2240:2240) (2452:2452:2452))
        (PORT clrn (986:986:986) (964:964:964))
        (PORT ena (642:642:642) (711:711:711))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem_byte_out\[7\]\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (198:198:198) (246:246:246))
        (PORT datab (841:841:841) (982:982:982))
        (PORT datad (328:328:328) (388:388:388))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (169:169:169) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|mem\[0\]\.mem_byte\|byte_reg\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1212:1212:1212) (1198:1198:1198))
        (PORT asdata (2499:2499:2499) (2739:2739:2739))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (1215:1215:1215) (1076:1076:1076))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp6\|fifo_out\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (493:493:493) (538:538:538))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1101:1101:1101) (1008:1008:1008))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~8)
    (DELAY
      (ABSOLUTE
        (PORT datab (592:592:592) (712:712:712))
        (PORT datac (120:120:120) (159:159:159))
        (PORT datad (117:117:117) (154:154:154))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[23\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1167:1167:1167) (1160:1160:1160))
        (PORT ena (664:664:664) (729:729:729))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[2\]\.mem_byte\|byte_reg\[0\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2216:2216:2216) (2475:2475:2475))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[2\]\.mem_byte\|byte_reg\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1209:1209:1209) (1194:1194:1194))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (981:981:981) (960:960:960))
        (PORT ena (785:785:785) (863:863:863))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[1\]\.mem_byte\|byte_reg\[0\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2095:2095:2095) (2332:2332:2332))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[1\]\.mem_byte\|byte_reg\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1186:1186:1186) (1183:1183:1183))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (918:918:918) (1039:1039:1039))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem_byte_out\[0\]\~7)
    (DELAY
      (ABSOLUTE
        (PORT dataa (356:356:356) (432:432:432))
        (PORT datab (842:842:842) (983:983:983))
        (PORT datad (191:191:191) (234:234:234))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[0\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2095:2095:2095) (2332:2332:2332))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1212:1212:1212) (1198:1198:1198))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (1215:1215:1215) (1076:1076:1076))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|fifo_out\[0\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (370:370:370) (415:415:415))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1101:1101:1101) (1008:1008:1008))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~7)
    (DELAY
      (ABSOLUTE
        (PORT dataa (136:136:136) (181:181:181))
        (PORT datab (593:593:593) (714:714:714))
        (PORT datad (117:117:117) (154:154:154))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[24\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1167:1167:1167) (1160:1160:1160))
        (PORT ena (664:664:664) (729:729:729))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~6)
    (DELAY
      (ABSOLUTE
        (PORT dataa (136:136:136) (181:181:181))
        (PORT datab (594:594:594) (715:715:715))
        (PORT datad (117:117:117) (154:154:154))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (169:169:169) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[25\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1167:1167:1167) (1160:1160:1160))
        (PORT ena (664:664:664) (729:729:729))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[2\]\.mem_byte\|byte_reg\[2\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2176:2176:2176) (2438:2438:2438))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[2\]\.mem_byte\|byte_reg\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1187:1187:1187) (1184:1184:1184))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (986:986:986) (964:964:964))
        (PORT ena (642:642:642) (711:711:711))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[1\]\.mem_byte\|byte_reg\[2\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2178:2178:2178) (2447:2447:2447))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[1\]\.mem_byte\|byte_reg\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1186:1186:1186) (1183:1183:1183))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (918:918:918) (1039:1039:1039))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem_byte_out\[2\]\~5)
    (DELAY
      (ABSOLUTE
        (PORT dataa (359:359:359) (433:433:433))
        (PORT datab (199:199:199) (246:246:246))
        (PORT datad (822:822:822) (958:958:958))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[2\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2176:2176:2176) (2445:2445:2445))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1212:1212:1212) (1198:1198:1198))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (1215:1215:1215) (1076:1076:1076))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|fifo_out\[2\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (360:360:360) (400:400:400))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1101:1101:1101) (1008:1008:1008))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~5)
    (DELAY
      (ABSOLUTE
        (PORT datab (591:591:591) (712:712:712))
        (PORT datac (120:120:120) (159:159:159))
        (PORT datad (119:119:119) (156:156:156))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[26\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1167:1167:1167) (1160:1160:1160))
        (PORT ena (664:664:664) (729:729:729))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[2\]\.mem_byte\|byte_reg\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1185:1185:1185) (1182:1182:1182))
        (PORT asdata (2321:2321:2321) (2584:2584:2584))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (972:972:972) (1091:1091:1091))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[1\]\.mem_byte\|byte_reg\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1210:1210:1210) (1196:1196:1196))
        (PORT asdata (2321:2321:2321) (2584:2584:2584))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (817:817:817) (914:914:914))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem_byte_out\[3\]\~4)
    (DELAY
      (ABSOLUTE
        (PORT dataa (207:207:207) (260:260:260))
        (PORT datab (214:214:214) (270:270:270))
        (PORT datad (699:699:699) (819:819:819))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[3\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2297:2297:2297) (2575:2575:2575))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (980:980:980) (958:958:958))
        (PORT ena (925:925:925) (843:843:843))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|fifo_out\[3\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (524:524:524) (590:590:590))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1086:1086:1086) (996:996:996))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~4)
    (DELAY
      (ABSOLUTE
        (PORT dataa (199:199:199) (247:247:247))
        (PORT datab (575:575:575) (685:685:685))
        (PORT datad (117:117:117) (153:153:153))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[27\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1166:1166:1166) (1158:1158:1158))
        (PORT ena (675:675:675) (732:732:732))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~3)
    (DELAY
      (ABSOLUTE
        (PORT datab (588:588:588) (708:708:708))
        (PORT datac (119:119:119) (157:157:157))
        (PORT datad (196:196:196) (243:243:243))
        (IOPATH datab combout (188:188:188) (177:177:177))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[28\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1167:1167:1167) (1160:1160:1160))
        (PORT ena (664:664:664) (729:729:729))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~2)
    (DELAY
      (ABSOLUTE
        (PORT datab (580:580:580) (691:691:691))
        (PORT datac (120:120:120) (158:158:158))
        (PORT datad (192:192:192) (236:236:236))
        (IOPATH datab combout (188:188:188) (177:177:177))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[29\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1166:1166:1166) (1158:1158:1158))
        (PORT ena (675:675:675) (732:732:732))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[1\]\.mem_byte\|byte_reg\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1212:1212:1212) (1199:1199:1199))
        (PORT asdata (2367:2367:2367) (2639:2639:2639))
        (PORT clrn (986:986:986) (964:964:964))
        (PORT ena (646:646:646) (713:713:713))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[2\]\.mem_byte\|byte_reg\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1187:1187:1187) (1184:1184:1184))
        (PORT asdata (2367:2367:2367) (2639:2639:2639))
        (PORT clrn (986:986:986) (964:964:964))
        (PORT ena (642:642:642) (711:711:711))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem_byte_out\[6\]\~1)
    (DELAY
      (ABSOLUTE
        (PORT dataa (362:362:362) (431:431:431))
        (PORT datab (353:353:353) (427:427:427))
        (PORT datad (822:822:822) (959:959:959))
        (IOPATH dataa combout (166:166:166) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1212:1212:1212) (1198:1198:1198))
        (PORT asdata (2476:2476:2476) (2759:2759:2759))
        (PORT clrn (985:985:985) (963:963:963))
        (PORT ena (1215:1215:1215) (1076:1076:1076))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD asdata (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|fifo_out\[6\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (367:367:367) (411:411:411))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1101:1101:1101) (1008:1008:1008))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~1)
    (DELAY
      (ABSOLUTE
        (PORT datab (594:594:594) (715:715:715))
        (PORT datac (198:198:198) (249:249:249))
        (PORT datad (119:119:119) (156:156:156))
        (IOPATH datab combout (166:166:166) (176:176:176))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[30\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1167:1167:1167) (1160:1160:1160))
        (PORT ena (664:664:664) (729:729:729))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[2\]\.mem_byte\|byte_reg\[7\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2647:2647:2647) (2959:2959:2959))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[2\]\.mem_byte\|byte_reg\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1185:1185:1185) (1182:1182:1182))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (972:972:972) (1091:1091:1091))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[1\]\.mem_byte\|byte_reg\[7\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2643:2643:2643) (2954:2954:2954))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[1\]\.mem_byte\|byte_reg\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1210:1210:1210) (1196:1196:1196))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (983:983:983) (961:961:961))
        (PORT ena (817:817:817) (914:914:914))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem_byte_out\[7\]\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (210:210:210) (263:263:263))
        (PORT datab (214:214:214) (271:271:271))
        (PORT datad (700:700:700) (820:820:820))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (167:167:167))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[7\]\~feeder)
    (DELAY
      (ABSOLUTE
        (PORT datad (2658:2658:2658) (2970:2970:2970))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|mem\[0\]\.mem_byte\|byte_reg\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (979:979:979) (992:992:992))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (980:980:980) (958:958:958))
        (PORT ena (925:925:925) (843:843:843))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE datafifowrite\|custom_fifo_dp5\|fifo_out\[7\])
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT asdata (535:535:535) (595:595:595))
        (PORT clrn (984:984:984) (962:962:962))
        (PORT sload (1086:1086:1086) (996:996:996))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD sload (posedge clk) (84:84:84))
      (HOLD asdata (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE word_out\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (211:211:211) (263:263:263))
        (PORT datab (574:574:574) (683:683:683))
        (PORT datad (116:116:116) (153:153:153))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (167:167:167) (158:158:158))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE word_out\[31\])
    (DELAY
      (ABSOLUTE
        (PORT clk (1000:1000:1000) (979:979:979))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1166:1166:1166) (1158:1158:1158))
        (PORT ena (675:675:675) (732:732:732))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
      (HOLD ena (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE one_o\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (509:509:509) (588:588:588))
        (PORT datab (217:217:217) (275:275:275))
        (PORT datad (753:753:753) (883:883:883))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (168:168:168) (167:167:167))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE one_o\~reg0)
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1167:1167:1167) (1160:1160:1160))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE zero_o\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (510:510:510) (590:590:590))
        (PORT datab (220:220:220) (278:278:278))
        (PORT datad (756:756:756) (887:887:887))
        (IOPATH dataa combout (170:170:170) (163:163:163))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (190:190:190) (195:195:195))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE zero_o\~reg0)
    (DELAY
      (ABSOLUTE
        (PORT clk (983:983:983) (996:996:996))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (1167:1167:1167) (1160:1160:1160))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|err_int)
    (DELAY
      (ABSOLUTE
        (PORT dataa (1989:1989:1989) (2190:2190:2190))
        (PORT datab (141:141:141) (188:188:188))
        (PORT datac (2075:2075:2075) (2280:2280:2280))
        (PORT datad (111:111:111) (133:133:133))
        (IOPATH dataa combout (158:158:158) (163:163:163))
        (IOPATH datab combout (160:160:160) (167:167:167))
        (IOPATH datac combout (119:119:119) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|ack\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (1244:1244:1244) (1421:1421:1421))
        (PORT datab (178:178:178) (206:206:206))
        (PORT datac (491:491:491) (566:566:566))
        (PORT datad (110:110:110) (132:132:132))
        (IOPATH dataa combout (165:165:165) (159:159:159))
        (IOPATH datab combout (160:160:160) (156:156:156))
        (IOPATH datac combout (119:119:119) (125:125:125))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|ack)
    (DELAY
      (ABSOLUTE
        (PORT clk (1001:1001:1001) (980:980:980))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (987:987:987) (965:965:965))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|err)
    (DELAY
      (ABSOLUTE
        (PORT clk (1001:1001:1001) (980:980:980))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (987:987:987) (965:965:965))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
  (CELL
    (CELLTYPE "cycloneiv_lcell_comb")
    (INSTANCE wb_interface\|rty_int\~0)
    (DELAY
      (ABSOLUTE
        (PORT dataa (1985:1985:1985) (2186:2186:2186))
        (PORT datab (132:132:132) (160:160:160))
        (PORT datac (497:497:497) (573:573:573))
        (PORT datad (1231:1231:1231) (1399:1399:1399))
        (IOPATH dataa combout (159:159:159) (163:163:163))
        (IOPATH datab combout (161:161:161) (167:167:167))
        (IOPATH datac combout (119:119:119) (124:124:124))
        (IOPATH datad combout (68:68:68) (63:63:63))
      )
    )
  )
  (CELL
    (CELLTYPE "dffeas")
    (INSTANCE wb_interface\|rty)
    (DELAY
      (ABSOLUTE
        (PORT clk (1001:1001:1001) (980:980:980))
        (PORT d (37:37:37) (50:50:50))
        (PORT clrn (987:987:987) (965:965:965))
        (IOPATH (posedge clk) q (105:105:105) (105:105:105))
        (IOPATH (negedge clrn) q (110:110:110) (110:110:110))
      )
    )
    (TIMINGCHECK
      (HOLD d (posedge clk) (84:84:84))
    )
  )
)

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.