OpenCores
URL https://opencores.org/ocsvn/wrimm/wrimm/trunk

Subversion Repositories wrimm

[/] [wrimm/] [trunk/] [WrimmBuild.sh] - Rev 8

Compare with Previous | Blame | View Log

#!/bin/sh
 
# Propery of Tecphos Inc.  See WrimmLicense.txt for license details
# Latest version of all Wrimm project files available at http://opencores.org/project,wrimm
# See WrimmManual.pdf for the Wishbone Datasheet and implementation details.
# See wrimm subversion project for version history
 
#GHDL simulation script and gtkWave view results
 
ghdl -i -v --workdir=work *.vhd
 
ghdl -m --workdir=work WrimmTestBench
 
ghdl -r WrimmTestBench --wave=wrimm.ghw --assert-level=error --stop-time=1000ns
 
# gtkwave wrimm.ghw
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.