OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [Behavioral.wcfg] - Rev 9

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="C:/mohd/codmu_project/devl/xmatch_sim3/testbench_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="attributes" />
            <top_module name="bit_arith" />
            <top_module name="bit_utils" />
            <top_module name="numeric_std" />
            <top_module name="std_logic_1164" />
            <top_module name="std_logic_arith" />
            <top_module name="std_logic_textio" />
            <top_module name="std_logic_unsigned" />
            <top_module name="tech_package" />
            <top_module name="testbench" />
            <top_module name="textio" />
            <top_module name="xil_comp" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="129" />
   <wvobject fp_name="/testbench/uut/bus2ip_clk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus2ip_clk</obj_property>
      <obj_property name="ObjectShortName">bus2ip_clk</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus2ip_resetn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus2ip_resetn</obj_property>
      <obj_property name="ObjectShortName">bus2ip_resetn</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus2ip_mstrd_src_rdy_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus2ip_mstrd_src_rdy_n</obj_property>
      <obj_property name="ObjectShortName">bus2ip_mstrd_src_rdy_n</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus2ip_mstrd_eof_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus2ip_mstrd_eof_n</obj_property>
      <obj_property name="ObjectShortName">bus2ip_mstrd_eof_n</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus2ip_data" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bus2ip_data[31:0]</obj_property>
      <obj_property name="ObjectShortName">bus2ip_data[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/ip2bus_mstrd_dst_dsc_n" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ip2bus_mstrd_dst_dsc_n</obj_property>
      <obj_property name="ObjectShortName">ip2bus_mstrd_dst_dsc_n</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/u_dataout_std" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">u_dataout_std[31:0]</obj_property>
      <obj_property name="ObjectShortName">u_dataout_std[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/c_dataout_std" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">c_dataout_std[31:0]</obj_property>
      <obj_property name="ObjectShortName">c_dataout_std[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/u_data_valid_std_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">u_data_valid_std_bit</obj_property>
      <obj_property name="ObjectShortName">u_data_valid_std_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/c_data_valid_std_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">c_data_valid_std_bit</obj_property>
      <obj_property name="ObjectShortName">c_data_valid_std_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/rst_codmu" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rst_codmu</obj_property>
      <obj_property name="ObjectShortName">rst_codmu</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/clk_codmu" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clk_codmu</obj_property>
      <obj_property name="ObjectShortName">clk_codmu</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/rst_host" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rst_host</obj_property>
      <obj_property name="ObjectShortName">rst_host</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/clk_host" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clk_host</obj_property>
      <obj_property name="ObjectShortName">clk_host</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/din_host" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">din_host[31:0]</obj_property>
      <obj_property name="ObjectShortName">din_host[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/wr_en_host" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wr_en_host</obj_property>
      <obj_property name="ObjectShortName">wr_en_host</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/rd_en_host" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rd_en_host</obj_property>
      <obj_property name="ObjectShortName">rd_en_host</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/dout_host" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">dout_host[31:0]</obj_property>
      <obj_property name="ObjectShortName">dout_host[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/full_host" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">full_host</obj_property>
      <obj_property name="ObjectShortName">full_host</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/overflow_host" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">overflow_host</obj_property>
      <obj_property name="ObjectShortName">overflow_host</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/empty_host" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">empty_host</obj_property>
      <obj_property name="ObjectShortName">empty_host</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/underflow_host" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">underflow_host</obj_property>
      <obj_property name="ObjectShortName">underflow_host</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/addr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">addr[3:0]</obj_property>
      <obj_property name="ObjectShortName">addr[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/ctrl" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ctrl[3:0]</obj_property>
      <obj_property name="ObjectShortName">ctrl[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/trshold" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">trshold[7:0]</obj_property>
      <obj_property name="ObjectShortName">trshold[7:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bloksiz" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bloksiz[15:0]</obj_property>
      <obj_property name="ObjectShortName">bloksiz[15:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/filesiz" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">filesiz[31:0]</obj_property>
      <obj_property name="ObjectShortName">filesiz[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/statc" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">statc[7:0]</obj_property>
      <obj_property name="ObjectShortName">statc[7:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/statd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">statd[7:0]</obj_property>
      <obj_property name="ObjectShortName">statd[7:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/statr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">statr[7:0]</obj_property>
      <obj_property name="ObjectShortName">statr[7:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/statw" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">statw[7:0]</obj_property>
      <obj_property name="ObjectShortName">statw[7:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/cs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">cs</obj_property>
      <obj_property name="ObjectShortName">cs</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/rw" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rw</obj_property>
      <obj_property name="ObjectShortName">rw</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/address" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">address[3:0]</obj_property>
      <obj_property name="ObjectShortName">address[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/control" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">control[31:0]</obj_property>
      <obj_property name="ObjectShortName">control[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/clk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clk</obj_property>
      <obj_property name="ObjectShortName">clk</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/clear" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clear</obj_property>
      <obj_property name="ObjectShortName">clear</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_acknowledge_cc" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_acknowledge_cc</obj_property>
      <obj_property name="ObjectShortName">bus_acknowledge_cc</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_acknowledge_cu" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_acknowledge_cu</obj_property>
      <obj_property name="ObjectShortName">bus_acknowledge_cu</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_acknowledge_dc" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_acknowledge_dc</obj_property>
      <obj_property name="ObjectShortName">bus_acknowledge_dc</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_acknowledge_du" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_acknowledge_du</obj_property>
      <obj_property name="ObjectShortName">bus_acknowledge_du</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/wait_cu" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wait_cu</obj_property>
      <obj_property name="ObjectShortName">wait_cu</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/wait_cc" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wait_cc</obj_property>
      <obj_property name="ObjectShortName">wait_cc</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/wait_dc" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wait_dc</obj_property>
      <obj_property name="ObjectShortName">wait_dc</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/wait_du" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wait_du</obj_property>
      <obj_property name="ObjectShortName">wait_du</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/u_datain" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">u_datain[31:0]</obj_property>
      <obj_property name="ObjectShortName">u_datain[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/c_datain" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">c_datain[31:0]</obj_property>
      <obj_property name="ObjectShortName">c_datain[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/u_dataout" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">u_dataout[31:0]</obj_property>
      <obj_property name="ObjectShortName">u_dataout[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/c_dataout" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">c_dataout[31:0]</obj_property>
      <obj_property name="ObjectShortName">c_dataout[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/finished_c" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">finished_c</obj_property>
      <obj_property name="ObjectShortName">finished_c</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/finished_d" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">finished_d</obj_property>
      <obj_property name="ObjectShortName">finished_d</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/compressing" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">compressing</obj_property>
      <obj_property name="ObjectShortName">compressing</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/flushing_c" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">flushing_c</obj_property>
      <obj_property name="ObjectShortName">flushing_c</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/flushing_d" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">flushing_d</obj_property>
      <obj_property name="ObjectShortName">flushing_d</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/decompressing" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">decompressing</obj_property>
      <obj_property name="ObjectShortName">decompressing</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/u_data_valid" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">u_data_valid</obj_property>
      <obj_property name="ObjectShortName">u_data_valid</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/c_data_valid" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">c_data_valid</obj_property>
      <obj_property name="ObjectShortName">c_data_valid</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/decoding_overflow" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">decoding_overflow</obj_property>
      <obj_property name="ObjectShortName">decoding_overflow</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/coding_overflow" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">coding_overflow</obj_property>
      <obj_property name="ObjectShortName">coding_overflow</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/crc_error" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">crc_error</obj_property>
      <obj_property name="ObjectShortName">crc_error</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/interrupt_request" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">interrupt_request</obj_property>
      <obj_property name="ObjectShortName">interrupt_request</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/interrupt_acknowledge" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">interrupt_acknowledge</obj_property>
      <obj_property name="ObjectShortName">interrupt_acknowledge</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_request_cc" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_request_cc</obj_property>
      <obj_property name="ObjectShortName">bus_request_cc</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_request_cu" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_request_cu</obj_property>
      <obj_property name="ObjectShortName">bus_request_cu</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_request_dc" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_request_dc</obj_property>
      <obj_property name="ObjectShortName">bus_request_dc</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_request_du" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_request_du</obj_property>
      <obj_property name="ObjectShortName">bus_request_du</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/cs_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">cs_bit</obj_property>
      <obj_property name="ObjectShortName">cs_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/rw_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rw_bit</obj_property>
      <obj_property name="ObjectShortName">rw_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/address_bit" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">address_bit[3:0]</obj_property>
      <obj_property name="ObjectShortName">address_bit[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/control_std" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">control_std[31:0]</obj_property>
      <obj_property name="ObjectShortName">control_std[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/clk_std" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clk_std</obj_property>
      <obj_property name="ObjectShortName">clk_std</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/clear_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clear_bit</obj_property>
      <obj_property name="ObjectShortName">clear_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/resetn_std" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">resetn_std</obj_property>
      <obj_property name="ObjectShortName">resetn_std</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_acknowledge_cc_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_acknowledge_cc_bit</obj_property>
      <obj_property name="ObjectShortName">bus_acknowledge_cc_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_acknowledge_cu_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_acknowledge_cu_bit</obj_property>
      <obj_property name="ObjectShortName">bus_acknowledge_cu_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_acknowledge_dc_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_acknowledge_dc_bit</obj_property>
      <obj_property name="ObjectShortName">bus_acknowledge_dc_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_acknowledge_du_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_acknowledge_du_bit</obj_property>
      <obj_property name="ObjectShortName">bus_acknowledge_du_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/wait_cu_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wait_cu_bit</obj_property>
      <obj_property name="ObjectShortName">wait_cu_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/wait_cc_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wait_cc_bit</obj_property>
      <obj_property name="ObjectShortName">wait_cc_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/wait_du_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wait_du_bit</obj_property>
      <obj_property name="ObjectShortName">wait_du_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/c_datain_bit" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">c_datain_bit[31:0]</obj_property>
      <obj_property name="ObjectShortName">c_datain_bit[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/finished_c_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">finished_c_bit</obj_property>
      <obj_property name="ObjectShortName">finished_c_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/finished_d_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">finished_d_bit</obj_property>
      <obj_property name="ObjectShortName">finished_d_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/u_data_valid_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">u_data_valid_bit</obj_property>
      <obj_property name="ObjectShortName">u_data_valid_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/c_data_valid_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">c_data_valid_bit</obj_property>
      <obj_property name="ObjectShortName">c_data_valid_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/interupt_acknwldge_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">interupt_acknwldge_bit</obj_property>
      <obj_property name="ObjectShortName">interupt_acknwldge_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_request_cc_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_request_cc_bit</obj_property>
      <obj_property name="ObjectShortName">bus_request_cc_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_request_cu_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_request_cu_bit</obj_property>
      <obj_property name="ObjectShortName">bus_request_cu_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_request_du_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_request_du_bit</obj_property>
      <obj_property name="ObjectShortName">bus_request_du_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/reg_address" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">reg_address[3:0]</obj_property>
      <obj_property name="ObjectShortName">reg_address[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/reg_control" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">reg_control[3:0]</obj_property>
      <obj_property name="ObjectShortName">reg_control[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/reg_threshold" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">reg_threshold[7:0]</obj_property>
      <obj_property name="ObjectShortName">reg_threshold[7:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/reg_bytesize" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">reg_bytesize[15:0]</obj_property>
      <obj_property name="ObjectShortName">reg_bytesize[15:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/cur_state1" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">cur_state1</obj_property>
      <obj_property name="ObjectShortName">cur_state1</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/next_state1" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">next_state1</obj_property>
      <obj_property name="ObjectShortName">next_state1</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/slv_reg0" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">slv_reg0[31:0]</obj_property>
      <obj_property name="ObjectShortName">slv_reg0[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/reg_cr_test_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">reg_cr_test_bit</obj_property>
      <obj_property name="ObjectShortName">reg_cr_test_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/reg_cr_31_to_28" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">reg_cr_31_to_28[3:0]</obj_property>
      <obj_property name="ObjectShortName">reg_cr_31_to_28[3:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/reg_cr_18_to_12" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">reg_cr_18_to_12[6:0]</obj_property>
      <obj_property name="ObjectShortName">reg_cr_18_to_12[6:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/reg_cr_11_to_0" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">reg_cr_11_to_0[11:0]</obj_property>
      <obj_property name="ObjectShortName">reg_cr_11_to_0[11:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/u_datain_reg" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">u_datain_reg[31:0]</obj_property>
      <obj_property name="ObjectShortName">u_datain_reg[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/c_datain_reg" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">c_datain_reg[31:0]</obj_property>
      <obj_property name="ObjectShortName">c_datain_reg[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/int_ret_cu_size" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">int_ret_cu_size</obj_property>
      <obj_property name="ObjectShortName">int_ret_cu_size</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/int_ret_dc_size" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">int_ret_dc_size</obj_property>
      <obj_property name="ObjectShortName">int_ret_dc_size</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/clk_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clk_bit</obj_property>
      <obj_property name="ObjectShortName">clk_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/wait_dc_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wait_dc_bit</obj_property>
      <obj_property name="ObjectShortName">wait_dc_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus_request_dc_bit" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bus_request_dc_bit</obj_property>
      <obj_property name="ObjectShortName">bus_request_dc_bit</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/fifo_read_signal" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">fifo_read_signal</obj_property>
      <obj_property name="ObjectShortName">fifo_read_signal</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/fifo_data_out" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">fifo_data_out[31:0]</obj_property>
      <obj_property name="ObjectShortName">fifo_data_out[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/c_datain_regx" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">c_datain_regx[31:0]</obj_property>
      <obj_property name="ObjectShortName">c_datain_regx[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/bus2ip_mstrd_d" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bus2ip_mstrd_d[31:0]</obj_property>
      <obj_property name="ObjectShortName">bus2ip_mstrd_d[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/u_datain_bit" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">u_datain_bit[31:0]</obj_property>
      <obj_property name="ObjectShortName">u_datain_bit[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/fifo_data_in" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">fifo_data_in[31:0]</obj_property>
      <obj_property name="ObjectShortName">fifo_data_in[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/cur_state" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">cur_state</obj_property>
      <obj_property name="ObjectShortName">cur_state</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/next_state" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">next_state</obj_property>
      <obj_property name="ObjectShortName">next_state</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/cur_state_status" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">cur_state_status</obj_property>
      <obj_property name="ObjectShortName">cur_state_status</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/next_state_status" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">next_state_status</obj_property>
      <obj_property name="ObjectShortName">next_state_status</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/din_codmu" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">din_codmu[31:0]</obj_property>
      <obj_property name="ObjectShortName">din_codmu[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/wr_en_codmu" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wr_en_codmu</obj_property>
      <obj_property name="ObjectShortName">wr_en_codmu</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/rd_en_codmu" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rd_en_codmu</obj_property>
      <obj_property name="ObjectShortName">rd_en_codmu</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/dout_codmu" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">dout_codmu[31:0]</obj_property>
      <obj_property name="ObjectShortName">dout_codmu[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/full_codmu" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">full_codmu</obj_property>
      <obj_property name="ObjectShortName">full_codmu</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/overflow_codmu" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">overflow_codmu</obj_property>
      <obj_property name="ObjectShortName">overflow_codmu</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/empty_codmu" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">empty_codmu</obj_property>
      <obj_property name="ObjectShortName">empty_codmu</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/rst_buffer" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rst_buffer</obj_property>
      <obj_property name="ObjectShortName">rst_buffer</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/underflow_codmu" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">underflow_codmu</obj_property>
      <obj_property name="ObjectShortName">underflow_codmu</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/wr_en_host_sm" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wr_en_host_sm</obj_property>
      <obj_property name="ObjectShortName">wr_en_host_sm</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/wr_en_host_conc" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wr_en_host_conc</obj_property>
      <obj_property name="ObjectShortName">wr_en_host_conc</obj_property>
   </wvobject>
   <wvobject fp_name="/testbench/uut/u_datain_regx" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">u_datain_regx[31:0]</obj_property>
      <obj_property name="ObjectShortName">u_datain_regx[31:0]</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.