OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.2/] [DE1/] [vhdl/] [z80soc_pack.vhd] - Rev 44

Compare with Previous | Blame | View Log

-------------------------------------------------------------------------------------------------
-- This design is part of:
-- Z80SoC (Z80 System on Chip)
-- Ronivon Candido Costa
-- ronivon.costa@gmail.com
--
 
library ieee;
use ieee.std_logic_1164.all;
 
package z80soc_pack is
 
	constant vid_cols			: integer := 80; -- video number of columns
	constant vid_lines		: integer := 60; -- video number of lines
	constant vram_base_addr	: std_logic_vector(15 downto 0) := x"4000";
	constant pixelsxchar		: integer := 1;
 
end  z80soc_pack;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.