OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] - Rev 233

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 233 2012-10-26 23:58:54 GMT
  • Author: ja_rd
  • Log message:
    Fixed top entity for De-1 demos: Bootstrap BRAM size is now taken from a constant in the obj code package.
Path Last modification Log RSS feed
[FOLDER] ion/ 233  4235d 08h ja_rd View Log RSS feed
[NODE][FOLDER] branches/ 1  4875d 02h root View Log RSS feed
[NODE][FOLDER] tags/ 1  4875d 02h root View Log RSS feed
[NODE][FOLDER] trunk/ 233  4235d 08h ja_rd View Log RSS feed
[NODE][NODE][FOLDER] doc/ 222  4364d 02h ja_rd View Log RSS feed
[NODE][NODE][FOLDER] local/ 2  4872d 23h ja_rd View Log RSS feed
[NODE][NODE][FOLDER] sim/ 230  4364d 01h ja_rd View Log RSS feed
[NODE][NODE][FOLDER] src/ 229  4364d 01h ja_rd View Log RSS feed
[NODE][NODE][FOLDER] syn/ 2  4872d 23h ja_rd View Log RSS feed
[NODE][NODE][FOLDER] tools/ 232  4235d 09h ja_rd View Log RSS feed
[NODE][NODE][FOLDER] vhdl/ 233  4235d 08h ja_rd View Log RSS feed
[NODE][NODE][NODE][FOLDER] demo/ 233  4235d 08h ja_rd View Log RSS feed
[NODE][NODE][NODE][FOLDER] SoC/ 233  4235d 08h ja_rd View Log RSS feed
[NODE][NODE][NODE][FOLDER] tb/ 226  4364d 01h ja_rd View Log RSS feed
[NODE][NODE][NODE][FILE] mips_alu.vhdl 162  4740d 17h ja_rd View Log RSS feed
[NODE][NODE][NODE][FILE] mips_cache.vhdl 212  4375d 00h ja_rd View Log RSS feed
[NODE][NODE][NODE][FILE] mips_cache_stub.vhdl 103  4815d 15h ja_rd View Log RSS feed
[NODE][NODE][NODE][FILE] mips_cpu.vhdl 200  4688d 19h ja_rd View Log RSS feed
[NODE][NODE][NODE][FILE] mips_mult.vhdl 21  4871d 07h ja_rd View Log RSS feed
[NODE][NODE][NODE][FILE] mips_pkg.vhdl 225  4364d 01h ja_rd View Log RSS feed
[NODE][NODE][NODE][FILE] mips_shifter.vhdl 162  4740d 17h ja_rd View Log RSS feed
[NODE][NODE][NODE][FILE] sdram_controller.vhdl 162  4740d 17h ja_rd View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.