OpenCores
URL https://opencores.org/ocsvn/iqcorrection/iqcorrection/trunk

Subversion Repositories iqcorrection

[/] [iqcorrection/] [branches/] [implemented with real variables/] - Rev 44

Rev

Changes | View Log | RSS feed

Last modification

  • Rev 44 2011-03-06 03:23:39 GMT
  • Author: Abraxas3d
  • Log message:
    Here is an exported PDF of the workspace I used to create and test this design. Aldec Active-HDL student edition (version 7.2) was used. This PDF contains the VHDL files and two images of the waveforms. The first image highlights the gain and phase lock behavior. The second image is the first few samples. This implementation has no filter delay because the architecture is implemented with real variables instead of signed registers. This is an intermediate step along the way.
Path Last modification Log RSS feed
[FOLDER] iqcorrection/ 44  4861d 00h Abraxas3d View Log RSS feed
[NODE][FOLDER] branches/ 44  4861d 00h Abraxas3d View Log RSS feed
[NODE][NODE][FOLDER] implemented with real variables/ 44  4861d 00h Abraxas3d View Log RSS feed
[NODE][NODE][NODE][FILE] gain_error_estimate_octave 19  4861d 01h Abraxas3d View Log RSS feed
[NODE][NODE][NODE][FILE] IQCorrectGain_Phase.m 24  4861d 01h Abraxas3d View Log RSS feed
[NODE][NODE][NODE][FILE] IQCorrectionReal.pdf 44  4861d 00h Abraxas3d View Log RSS feed
[NODE][NODE][NODE][FILE] IQGainPhaseCorrection_arch_integer.vhd 30  4861d 01h Abraxas3d View Log RSS feed
[NODE][NODE][NODE][FILE] IQGainPhaseCorrection_entity.vhd 22  4861d 01h Abraxas3d View Log RSS feed
[NODE][NODE][NODE][FILE] IQGainPhaseCorrection_testbench_read.vhd 26  4861d 01h Abraxas3d View Log RSS feed
[NODE][NODE][NODE][FILE] I_data_octave 21  4861d 01h Abraxas3d View Log RSS feed
[NODE][NODE][NODE][FILE] phase_error_estimate_octave 20  4861d 01h Abraxas3d View Log RSS feed
[NODE][FOLDER] tags/ 1  4871d 22h root View Log RSS feed
[NODE][FOLDER] trunk/ 43  4861d 01h Abraxas3d View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.