OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [branches/] [ver0branch/] - Rev 60

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 60 2009-10-05 21:52:23 GMT
  • Author: jguarin2002
  • Log message:
    Some K or VD bits are getting are inverted at the exit....

    I check the rtl net viewer, and the circuit compiled is quite strange nor correspondant....
Path Last modification Log RSS feed
[FOLDER] jart/ 60  5405d 22h jguarin2002 View Log RSS feed
[NODE][FOLDER] branches/ 60  5405d 22h jguarin2002 View Log RSS feed
[NODE][NODE][FOLDER] ver0branch/ 60  5405d 22h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] accum0.vhd 44  5407d 04h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] dComparisonCell.vhd 45  5407d 04h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] dotCell.vhd 46  5407d 04h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] floor0Row.vhd 47  5407d 04h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] floor1Row.vhd 48  5407d 04h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] floor2Row.vhd 49  5407d 04h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] gridCube.vhd 50  5407d 04h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] kComparisonCell.vhd 51  5407d 04h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] mod0.vhd 52  5407d 04h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] p1ax.vhd 53  5407d 04h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] powerGrid.vhd 58  5407d 03h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] rayxsphereGrid.vhd 55  5407d 04h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] scanFF.vhd 60  5405d 22h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] uart_serial.vhdl 57  5407d 04h jguarin2002 View Log RSS feed
[NODE][FOLDER] tags/ 1  5475d 00h root View Log RSS feed
[NODE][FOLDER] trunk/ 42  5407d 04h jguarin2002 View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.