OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] - Rev 186

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 186 2007-01-29 01:47:43 GMT
  • Author: rhoads
  • Log message:
    Change memory_type to "XILINX_16X"
Path Last modification Log RSS feed
[FOLDER] branches/ 1  8442d 18h View Log RSS feed
[FOLDER] tags/ 140  6689d 13h View Log RSS feed
[FOLDER] trunk/ 186  6360d 13h rhoads View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.