OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] - Rev 39

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 39 2009-08-11 14:10:19 GMT
  • Author: budinero
  • Log message:
    Added a read flag for each channel and adc_clk_I input
Path Last modification Log RSS feed
[FOLDER] modular_oscilloscope/ 39  5415d 03h budinero View Log RSS feed
[NODE][FOLDER] branches/ 16  5569d 15h root View Log RSS feed
[NODE][FOLDER] tags/ 16  5569d 15h root View Log RSS feed
[NODE][FOLDER] trunk/ 39  5415d 03h budinero View Log RSS feed
[NODE][NODE][FOLDER] design/ 15  5610d 23h budinero View Log RSS feed
[NODE][NODE][FOLDER] doc/ 15  5610d 23h budinero View Log RSS feed
[NODE][NODE][FOLDER] hdl/ 39  5415d 03h budinero View Log RSS feed
[NODE][NODE][FOLDER] sw/ 2  5675d 13h budinero View Log RSS feed
[NODE][NODE][DB-FILE] readme.txt 21  5513d 02h budinero View Log RSS feed
[NODE][FOLDER] web_uploads/ 18  5569d 03h root View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.