OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [ctrl/] - Rev 35

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 35 2009-07-28 02:03:50 GMT
  • Author: budinero
  • Log message:
    new architecture
Path Last modification Log RSS feed
[FOLDER] modular_oscilloscope/ 35  5447d 11h budinero View Log RSS feed
[NODE][FOLDER] branches/ 16  5587d 12h root View Log RSS feed
[NODE][FOLDER] tags/ 16  5587d 12h root View Log RSS feed
[NODE][FOLDER] trunk/ 35  5447d 11h budinero View Log RSS feed
[NODE][NODE][FOLDER] design/ 15  5628d 20h budinero View Log RSS feed
[NODE][NODE][FOLDER] doc/ 15  5628d 20h budinero View Log RSS feed
[NODE][NODE][FOLDER] hdl/ 35  5447d 11h budinero View Log RSS feed
[NODE][NODE][NODE][FOLDER] ctrl/ 35  5447d 11h budinero View Log RSS feed
[NODE][NODE][NODE][NODE][FILE] channel_selector.vhd 33  5447d 13h budinero View Log RSS feed
[NODE][NODE][NODE][NODE][FILE] ctrl.vhd 5  5693d 09h budinero View Log RSS feed
[NODE][NODE][NODE][NODE][FILE] data_skipper.vhd 33  5447d 13h budinero View Log RSS feed
[NODE][NODE][NODE][NODE][FILE] generic_counter.vhd 33  5447d 13h budinero View Log RSS feed
[NODE][NODE][NODE][NODE][FILE] generic_decoder.vhd 33  5447d 13h budinero View Log RSS feed
[NODE][NODE][NODE][NODE][FILE] memory_writer.vhd 33  5447d 13h budinero View Log RSS feed
[NODE][NODE][NODE][NODE][FILE] output_manager.vhd 35  5447d 11h budinero View Log RSS feed
[NODE][NODE][NODE][NODE][FILE] trigger_manager.vhd 33  5447d 13h budinero View Log RSS feed
[NODE][NODE][NODE][FOLDER] daq/ 31  5447d 13h budinero View Log RSS feed
[NODE][NODE][NODE][FOLDER] epp/ 34  5447d 13h budinero View Log RSS feed
[NODE][NODE][NODE][FOLDER] memory/ 29  5468d 22h budinero View Log RSS feed
[NODE][NODE][FOLDER] sw/ 2  5693d 10h budinero View Log RSS feed
[NODE][FOLDER] web_uploads/ 18  5586d 23h root View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.